URL
https://opencores.org/ocsvn/artec_dongle_ii_fpga/artec_dongle_ii_fpga/trunk
Show entire file |
Details |
Blame |
View Log
Rev 6 |
Rev 9 |
Line 105... |
Line 105... |
signal data_lo_seg1 : std_logic_vector(7 downto 0);
|
signal data_lo_seg1 : std_logic_vector(7 downto 0);
|
signal data_hi_seg0 : std_logic_vector(7 downto 0);
|
signal data_hi_seg0 : std_logic_vector(7 downto 0);
|
signal data_lo_seg0 : std_logic_vector(7 downto 0);
|
signal data_lo_seg0 : std_logic_vector(7 downto 0);
|
|
|
--constant display
|
--constant display
|
signal cons_hi_seg1 : std_logic_vector(7 downto 0);
|
--signal cons_hi_seg1 : std_logic_vector(7 downto 0);
|
signal cons_lo_seg1 : std_logic_vector(7 downto 0);
|
--signal cons_lo_seg1 : std_logic_vector(7 downto 0);
|
signal cons_hi_seg0 : std_logic_vector(7 downto 0);
|
--signal cons_hi_seg0 : std_logic_vector(7 downto 0);
|
signal cons_lo_seg0 : std_logic_vector(7 downto 0);
|
--signal cons_lo_seg0 : std_logic_vector(7 downto 0);
|
|
|
signal disp_cnt : std_logic_vector(15 downto 0):=(others=>'0'); --this enables correct simulation
|
signal disp_cnt : std_logic_vector(15 downto 0):=(others=>'0'); --this enables correct simulation
|
|
|
begin -- rtl
|
begin -- rtl
|
---------------------------HGFEDCBA
|
|
cons_hi_seg1 <= msn_hib;--"01111111"; --8
|
|
cons_lo_seg1 <= lsn_hib;--"01111101"; --6
|
|
cons_hi_seg0 <= msn_lob;--"01011100"; -- small o
|
|
cons_lo_seg0 <= lsn_lob;--"01011100"; -- small o
|
|
|
|
|
|
|
|
|
|
process (clk) --enable the scanning while in reset
|
process (clk) --enable the scanning while in reset
|
begin -- process
|
begin -- process
|
if clk'event and clk = '0' then -- rising clock edge
|
if clk'event and clk = '0' then -- rising clock edge
|
disp_cnt <= disp_cnt + 1;
|
disp_cnt <= disp_cnt + 1;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.