OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [rTwoBFStage.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--   Author: Raj Thilak Rajan : rajan at astron.nl: Nov 2009
--
--   Copyright (C) 2009-2010
-- Copyright 2020
--   ASTRON (Netherlands Institute for Radio Astronomy)
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
--   P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
--   P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
--
--
--   This file is part of the UniBoard software suite.
-- Licensed under the Apache License, Version 2.0 (the "License");
--   The file is free software: you can redistribute it and/or modify
-- you may not use this file except in compliance with the License.
--   it under the terms of the GNU General Public License as published by
-- You may obtain a copy of the License at
--   the Free Software Foundation, either version 3 of the License, or
-- 
--   (at your option) any later version.
--     http://www.apache.org/licenses/LICENSE-2.0
--
--
--   This program is distributed in the hope that it will be useful,
-- Unless required by applicable law or agreed to in writing, software
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
-- distributed under the License is distributed on an "AS IS" BASIS,
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--   GNU General Public License for more details.
-- See the License for the specific language governing permissions and
 
-- limitations under the License.
--
--
--   You should have received a copy of the GNU General Public License
 
--   along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 
 
library ieee, common_pkg_lib, common_components_lib;
library ieee, common_pkg_lib, common_components_lib;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use common_pkg_lib.common_pkg.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.