OpenCores
URL https://opencores.org/ocsvn/astron_wb_fft/astron_wb_fft/trunk

Subversion Repositories astron_wb_fft

[/] [astron_wb_fft/] [trunk/] [fft_wide_unit_control.vhd] - Diff between revs 3 and 5

Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 33... Line 33...
--              stages in the FFT. In other words: the fft_wide_unit_control unit 
--              stages in the FFT. In other words: the fft_wide_unit_control unit 
--              is not capable of handling more than one sync pulse at a time. 
--              is not capable of handling more than one sync pulse at a time. 
--              
--              
--
--
 
 
library IEEE, common_pkg_lib, common_ram_lib, common_fifo_lib, dp_pkg_lib;
library IEEE, common_pkg_lib, astron_ram_lib, astron_fifo_lib, dp_pkg_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use work.fft_pkg.ALL;
use work.fft_pkg.ALL;
 
 
entity fft_wide_unit_control is
entity fft_wide_unit_control is
  generic (
  generic (
Line 93... Line 93...
begin
begin
 
 
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  -- INPUT FIFO FOR BSN
  -- INPUT FIFO FOR BSN
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  u_bsn_fifo : entity common_fifo_lib.common_fifo_sc
  u_bsn_fifo : entity astron_fifo_lib.common_fifo_sc
  generic map (
  generic map (
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_reset     => FALSE,
    g_reset     => FALSE,
    g_init      => FALSE,
    g_init      => FALSE,
    g_dat_w     => c_dp_stream_bsn_w,
    g_dat_w     => c_dp_stream_bsn_w,
Line 117... Line 117...
  );
  );
 
 
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  -- INPUT FIFO FOR ERR
  -- INPUT FIFO FOR ERR
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  u_error_fifo : entity common_fifo_lib.common_fifo_sc
  u_error_fifo : entity astron_fifo_lib.common_fifo_sc
  generic map (
  generic map (
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_reset     => FALSE,
    g_reset     => FALSE,
    g_init      => FALSE,
    g_init      => FALSE,
    g_dat_w     => c_dp_stream_error_w,
    g_dat_w     => c_dp_stream_error_w,
Line 141... Line 141...
  );
  );
 
 
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  -- FIFO FOR SYNC-BSN
  -- FIFO FOR SYNC-BSN
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  u_sync_bsn_fifo : entity common_fifo_lib.common_fifo_sc
  u_sync_bsn_fifo : entity astron_fifo_lib.common_fifo_sc
  generic map (
  generic map (
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_use_lut   => TRUE,   -- Make this FIFO in logic, since it's only 4 words deep. 
    g_reset     => FALSE,
    g_reset     => FALSE,
    g_init      => FALSE,
    g_init      => FALSE,
    g_dat_w     => c_dp_stream_bsn_w,
    g_dat_w     => c_dp_stream_bsn_w,
Line 165... Line 165...
  );
  );
 
 
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  -- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
  -- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
  ---------------------------------------------------------------
  ---------------------------------------------------------------
  u_fifo_adapter : entity common_fifo_lib.common_fifo_rd
  u_fifo_adapter : entity astron_fifo_lib.common_fifo_rd
  generic map (
  generic map (
    g_dat_w => c_dp_stream_bsn_w
    g_dat_w => c_dp_stream_bsn_w
  )
  )
  port map(
  port map(
    rst        => rst,
    rst        => rst,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.