OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [trunk/] [sdm/] [syn/] [script/] [constraint.tcl] - Diff between revs 28 and 37

Show entire file | Details | Blame | View Log

Rev 28 Rev 37
Line 39... Line 39...
}
}
 
 
# the delay cell in the lookahead pipeline
# the delay cell in the lookahead pipeline
# It is not a problem to get errors here if ENABLE_LOOKAHEAD is not defined.
# It is not a problem to get errors here if ENABLE_LOOKAHEAD is not defined.
foreach_in_collection celln  [get_references -hierarchical outp_buf_*] {
foreach_in_collection celln  [get_references -hierarchical outp_buf_*] {
    set_disable_timing [get_object_name $celln]/DLY/U -from A -to Z
    set_disable_timing [get_object_name $celln]/*DLY/U -from A -to Z
}
}
 
 
# set some timing path ending points
# set some timing path ending points
set DPD []
set DPD []
set DPA []
set DPA []
Line 59... Line 59...
set IOAI [filter [get_ports *i*] "@port_direction == out"]
set IOAI [filter [get_ports *i*] "@port_direction == out"]
set IOAO [filter [get_ports *o*] "@port_direction == in"]
set IOAO [filter [get_ports *o*] "@port_direction == in"]
 
 
# set the timing constraints for data paths and ack paths
# set the timing constraints for data paths and ack paths
# For better speed performance, please tune these delay and factors according different cell libraries
# For better speed performance, please tune these delay and factors according different cell libraries
set DATA_dly 5
set DATA_dly 1.0
set ACK_dly 8
set ACK_dly 1.6
 
 
set_max_delay [expr ${DATA_dly} * 1.00] -from ${DPA}   -to ${DPD}   -group G_DATA
set_max_delay [expr ${DATA_dly} * 1.00] -from ${DPA}   -to ${DPD}   -group G_DATA
set_max_delay [expr ${ACK_dly} * 1.00]  -from ${DPA}   -to ${DPA}   -group G_ACK
set_max_delay [expr ${ACK_dly} * 1.00]  -from ${DPA}   -to ${DPA}   -group G_ACK
set_max_delay [expr ${DATA_dly} * 0.30] -from ${IODI}  -to ${DPD}   -group G_DATA
set_max_delay [expr ${DATA_dly} * 0.30] -from ${IODI}  -to ${DPD}   -group G_DATA
set_max_delay [expr ${ACK_dly} * 0.75]  -from ${DPA}   -to ${IOAI}  -group G_ACK
set_max_delay [expr ${ACK_dly} * 0.75]  -from ${DPA}   -to ${IOAI}  -group G_ACK
Line 80... Line 80...
set_max_dynamic_power 0.0
set_max_dynamic_power 0.0
set_max_area 0
set_max_area 0
 
 
# timing path disabled by user constraints
# timing path disabled by user constraints
suppress_message TIM-175
suppress_message TIM-175
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.