OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

[/] [avuc/] [trunk/] [example/] [max_mem.usm] - Diff between revs 3 and 8

Show entire file | Details | Blame | View Log

Rev 3 Rev 8
Line 12... Line 12...
   library ieee;
   library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_unsigned.all;
   use ieee.std_logic_unsigned.all;
 
 
   library work;
   library work;
   use work.usm_pkg.all;
   use work.avuc_pkg.all;
 
 
&$generic
&$generic
&$port
&$port
   -- Main clock:
   -- Main clock:
   clk: in std_logic;
   clk: in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.