OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

[/] [avuc/] [trunk/] [example/] [max_mem.vhd] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
-- File generated by avuc.pl (7/8/2009)
-- File generated by avuc.pl (8/8/2009)
--
--
---------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------
-- Copyright 2008 by USM
-- Copyright 2008 by USM
-- Description: Search for the maximum number in a memory.
-- Description: Search for the maximum number in a memory.
---------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------
Line 196... Line 196...
      usm_pc_ldjmp <= '0';
      usm_pc_ldjmp <= '0';
      case usm_opcode is
      case usm_opcode is
      when USMO_JUMP =>
      when USMO_JUMP =>
         usm_pc_ldjmp <= '1';
         usm_pc_ldjmp <= '1';
      when USMO_JUMP_IF_MEM_ADDR_EQ_LAST =>
      when USMO_JUMP_IF_MEM_ADDR_EQ_LAST =>
         if mem_addr_s = (mem_addr_s'range => '1') then
         if mem_addr_s = (mem_addr_s'range => '1')
 
 then
            usm_pc_ldjmp <= '1';
            usm_pc_ldjmp <= '1';
         end if;
         end if;
      when USMO_JUMP_IF_MEM_DATA_LT_MAX =>
      when USMO_JUMP_IF_MEM_DATA_LT_MAX =>
         if mem_data < max_number   then
         if mem_data < max_number
 
   then
            usm_pc_ldjmp <= '1';
            usm_pc_ldjmp <= '1';
         end if;
         end if;
      when others =>
      when others =>
         usm_pc_ldjmp <= '0';
         usm_pc_ldjmp <= '0';
      end case;
      end case;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.