OpenCores
URL https://opencores.org/ocsvn/ax4lbr/ax4lbr/trunk

Subversion Repositories ax4lbr

[/] [ax4lbr/] [trunk/] [rtl/] [axil2wb.vhd] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title      : axil2wb
-- Title      : axil2wb
-- Project    : 
-- Project    : 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : axil2ipb.vhd
-- File       : axil2wb.vhd
-- Author     : Wojciech M. Zabolotny  <wzab@ise.pw.edu.pl>
-- Author     : Wojciech M. Zabolotny  <wzab@ise.pw.edu.pl>
-- Company    : Institute of Electronic Systems, Warsaw University of Technology
-- Company    : Institute of Electronic Systems, Warsaw University of Technology
-- Created    : 2016-04-24
-- Created    : 2016-04-24
-- Last update: 2016-05-14
-- Last update: 2016-05-15
-- License    : This is a PUBLIC DOMAIN code, published under
-- License    : This is a PUBLIC DOMAIN code, published under
--              Creative Commons CC0 license
--              Creative Commons CC0 license
-- Platform   : 
-- Platform   : 
-- Standard   : VHDL'93/02
-- Standard   : VHDL'93/02
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.