URL
https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk
[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [axi4-stream-bfm-master.vhdl] - Diff between revs 17 and 24
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 17 |
Rev 24 |
Line 54... |
Line 54... |
-- /* AXI Slave interface */
|
-- /* AXI Slave interface */
|
-- axiSlave_in:in tAxi4Transactor_m2s;
|
-- axiSlave_in:in tAxi4Transactor_m2s;
|
-- axiSlave_out:buffer tAxi4Transactor_s2m;
|
-- axiSlave_out:buffer tAxi4Transactor_s2m;
|
|
|
symbolsPerTransfer:in i_transactor.t_cnt;
|
symbolsPerTransfer:in i_transactor.t_cnt;
|
outstandingTransactions:in i_transactor.t_cnt
|
outstandingTransactions:in i_transactor.t_cnt;
|
|
|
/* Debug ports. */
|
/* Debug ports. */
|
-- dbg_cnt:out unsigned(9 downto 0);
|
-- dbg_cnt:out unsigned(9 downto 0);
|
-- dbg_axiRxFsm:out axiBfmStatesRx:=idle;
|
-- dbg_axiRxFsm:out axiBfmStatesRx:=idle;
|
-- dbg_axiTxFsm:out axiBfmStatesTx:=idle
|
dbg_axiTxFsm:out axiBfmStatesTx:=idle
|
);
|
);
|
end entity axiBfmMaster;
|
end entity axiBfmMaster;
|
|
|
architecture rtl of axiBfmMaster is
|
architecture rtl of axiBfmMaster is
|
/* Finite-state Machines. */
|
/* Finite-state Machines. */
|
Line 136... |
Line 136... |
axiMaster_out<=i_axiMaster_out;
|
axiMaster_out<=i_axiMaster_out;
|
trigger<=i_trigger;
|
trigger<=i_trigger;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
|
dbg_axiTxFSM<=axiTxState;
|
end architecture rtl;
|
end architecture rtl;
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.