OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [axi4-stream-bfm-master.vhdl] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 81... Line 81...
 
 
        signal response,i_response:boolean;
        signal response,i_response:boolean;
 
 
begin
begin
        /* Transaction counter. */
        /* Transaction counter. */
        process(n_areset,aclk) is begin
        process(n_areset,symbolsPerTransfer,aclk) is begin
                if not n_areset then outstandingTransactions<=symbolsPerTransfer;
                if not n_areset then outstandingTransactions<=symbolsPerTransfer;
                elsif rising_edge(aclk) then
                elsif rising_edge(aclk) then
                        if outstandingTransactions>0 then outstandingTransactions<=outstandingTransactions-1;
                        if outstandingTransactions>0 then outstandingTransactions<=outstandingTransactions-1;
                        else
                        else
                                outstandingTransactions<=symbolsPerTransfer;
                                outstandingTransactions<=symbolsPerTransfer;
Line 116... Line 116...
 
 
                case next_axiTxState is
                case next_axiTxState is
                        when payload=>
                        when payload=>
                                axiMaster_out.tValid<=true;
                                axiMaster_out.tValid<=true;
                                if axiMaster_in.tReady then
                                if axiMaster_in.tReady then
                                        axiMaster_out.tData<=writeRequest.message;              --TODO: writeRequest.message should change every aclk cycle.
                                        axiMaster_out.tData<=writeRequest.message;
                                end if;
                                end if;
                        when others=> axiMaster_out.tValid<=false; axiMaster_out.tData<=(others=>'Z');          --TODO: set 'Z' to '0' for synthesis.
                        when others=> axiMaster_out.tValid<=false; axiMaster_out.tData<=(others=>'Z');          --TODO: set 'Z' to '0' for synthesis.
                end case;
                end case;
        end process axi_bfmTx_op;
        end process axi_bfmTx_op;
 
 
Line 135... Line 135...
                end if;
                end if;
        end process;
        end process;
 
 
--      dbg_axiTxFsm<=axiTxState;
--      dbg_axiTxFsm<=axiTxState;
end architecture rtl;
end architecture rtl;
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.