OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [packages/] [pkg-axi-tlm.vhdl] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 41... Line 41...
 
 
/* Record I/O data structures for AXI interface transactor (block interface). */
/* Record I/O data structures for AXI interface transactor (block interface). */
package axiTLM is
package axiTLM is
        generic(
        generic(
                type t_qualifier; type t_id; type t_dest; type t_user; type t_resp;
                type t_qualifier; type t_id; type t_dest; type t_user; type t_resp;
                package transactor is new tauhop.tlm generic map(<>)
                package i_transactor is new tauhop.tlm generic map(<>)
        );
        );
        /* Makes transactor.t_addr and transactor.t_msg visible. */
        /* Makes i_transactor.t_addr, i_transactor.t_msg, and i_transactor.t_cnt visible. */
        use transactor.all;
        use i_transactor.all;
 
 
--      /* TODO remove once generic packages are supported. */
--      /* TODO remove once generic packages are supported. */
--      use tauhop.tlm.all;
--      use tauhop.tlm.all;
--      subtype t_qualifier is std_ulogic_vector(32/8-1 downto 0);
--      subtype t_qualifier is std_ulogic_vector(32/8-1 downto 0);
--      subtype t_id is unsigned(31 downto 0);
--      subtype t_id is unsigned(31 downto 0);
Line 191... Line 191...
        t_qualifier=>boolean_vector(32/8-1 downto 0),
        t_qualifier=>boolean_vector(32/8-1 downto 0),
        t_id=>unsigned(7 downto 0),
        t_id=>unsigned(7 downto 0),
        t_dest=>unsigned(3 downto 0),
        t_dest=>unsigned(3 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        transactor=>tauhop.transactor
        i_transactor=>tauhop.transactor
);
);
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.