OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [packages/] [pkg-axi-tlm.vhdl] - Diff between revs 10 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 17
Line 138... Line 138...
                tValid:boolean;
                tValid:boolean;
                tData:t_msg;
                tData:t_msg;
                tStrb:t_qualifier;
                tStrb:t_qualifier;
                tKeep:t_qualifier;
                tKeep:t_qualifier;
                tLast:boolean;
                tLast:boolean;
                tId:t_id;
--              tId:t_id;
                tDest:t_dest;
--              tDest:t_dest;
                tUser:t_user;
--              tUser:t_user;
        end record t_axi4StreamTransactor_m2s;
        end record t_axi4StreamTransactor_m2s;
 
 
        type t_axi4StreamTransactor_s2m is record
        type t_axi4StreamTransactor_s2m is record
                tReady:boolean;
                tReady:boolean;
        end record t_axi4StreamTransactor_s2m;
        end record t_axi4StreamTransactor_s2m;
Line 154... Line 154...
--              cSysReq:
--              cSysReq:
--              cSysAck:
--              cSysAck:
--              cActive:
--              cActive:
--      end record tAxiTransactor_lp;
--      end record tAxiTransactor_lp;
 
 
        type axiBfmStatesTx is (idle,sendAddr,startOfPacket,payload,endOfPacket,endOfTx);
--      type axiBfmStatesTx is (idle,sendAddr,startOfPacket,payload,endOfPacket,endOfTx);
 
        type axiBfmStatesTx is (idle,payload,endOfTx);
        type axiBfmStatesRx is (idle,checkAddr,startOfPacket,payload);
        type axiBfmStatesRx is (idle,checkAddr,startOfPacket,payload);
end package axiTLM;
end package axiTLM;
 
 
package body axiTLM is
package body axiTLM is
end package body axiTLM;
end package body axiTLM;
Line 179... Line 180...
 */
 */
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library tauhop;
library tauhop;
package transactor is new tauhop.tlm generic map(
package transactor is new tauhop.tlm generic map(
        t_addr=>unsigned(31 downto 0),           -- default assignment. Used only for non-stream interfaces.
        t_addr=>unsigned(31 downto 0),           -- default assignment. Used only for non-stream interfaces.
        t_msg=>signed(63 downto 0),
--      t_msg=>signed(63 downto 0),
 
        t_msg=>signed(31 downto 0),
        t_cnt=>unsigned(127 downto 0)
        t_cnt=>unsigned(127 downto 0)
);
);
 
 
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library tauhop; use tauhop.transactor.all;
library tauhop; use tauhop.transactor.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.