OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [packages/] [pkg-axi-tlm.vhdl] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 193... Line 193...
        t_dest=>unsigned(3 downto 0),
        t_dest=>unsigned(3 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        transactor=>tauhop.transactor
        transactor=>tauhop.transactor
);
);
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.