OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [packages/] [pkg-axi-tlm.vhdl] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 179... Line 179...
 */
 */
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library tauhop;
library tauhop;
package transactor is new tauhop.tlm generic map(
package transactor is new tauhop.tlm generic map(
        t_addr=>unsigned(31 downto 0),           -- default assignment. Used only for non-stream interfaces.
        t_addr=>unsigned(31 downto 0),           -- default assignment. Used only for non-stream interfaces.
        t_msg=>unsigned(63 downto 0),
        t_msg=>signed(63 downto 0),
        t_cnt=>unsigned(127 downto 0)
        t_cnt=>unsigned(127 downto 0)
);
);
 
 
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
library tauhop; use tauhop.transactor.all;
library tauhop; use tauhop.transactor.all;
Line 193... Line 193...
        t_dest=>unsigned(3 downto 0),
        t_dest=>unsigned(3 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_user=>unsigned(7 downto 0),    --unsigned(86*2-1 downto 0),
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        t_resp=>unsigned(1 downto 0),    --only used for AXI4-Lite (non-streaming).
        transactor=>tauhop.transactor
        transactor=>tauhop.transactor
);
);
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.