OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] [pkg-axi-tlm.vhdl] - Diff between revs 9 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 12
Line 48... Line 48...
--      /* Makes transactor.t_addr and transactor.t_msg visible. */
--      /* Makes transactor.t_addr and transactor.t_msg visible. */
--      use transactor.all;
--      use transactor.all;
 
 
        /* TODO remove once generic packages are supported. */
        /* TODO remove once generic packages are supported. */
        use tauhop.tlm.all;
        use tauhop.tlm.all;
 
        --type boolean_vector is array(natural range<>) of boolean;
 
        --subtype t_qualifier is boolean_vector(32/8-1 downto 0);
        subtype t_qualifier is std_ulogic_vector(32/8-1 downto 0);
        subtype t_qualifier is std_ulogic_vector(32/8-1 downto 0);
        subtype t_id is unsigned(31 downto 0);
        subtype t_id is unsigned(31 downto 0);
        subtype t_dest is unsigned(3 downto 0);
        subtype t_dest is unsigned(3 downto 0);
        subtype t_user is unsigned(7 downto 0);
        subtype t_user is unsigned(7 downto 0);
        subtype t_resp is unsigned(1 downto 0);          --2 bits. b"00" = OKAY, b"01" = ExOKAY, b"10" = SLVERR (slave error), b"11" = DECERR (decode error).
        subtype t_resp is unsigned(1 downto 0);          --2 bits. b"00" = OKAY, b"01" = ExOKAY, b"10" = SLVERR (slave error), b"11" = DECERR (decode error).

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.