OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] [pkg-axi-tlm.vhdl] - Diff between revs 13 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 17
Line 41... Line 41...
 
 
/* Record I/O data structures for AXI interface transactor (block interface). */
/* Record I/O data structures for AXI interface transactor (block interface). */
package axiTLM is
package axiTLM is
--      generic(
--      generic(
--              type t_qualifier; type t_id; type t_dest; type t_user; type t_resp;
--              type t_qualifier; type t_id; type t_dest; type t_user; type t_resp;
--              package transactor is new tauhop.tlm generic map(<>)
--              package i_transactor is new tauhop.tlm generic map(<>)
--      );
--      );
--      /* Makes transactor.t_addr and transactor.t_msg visible. */
--      /* Makes i_transactor.t_addr, i_transactor.t_msg, and i_transactor.t_cnt visible. */
--      use transactor.all;
--      use i_transactor.all;
 
 
        /* TODO remove once generic packages are supported. */
        /* TODO remove once generic packages are supported. */
        use tauhop.tlm.all;
        use tauhop.tlm.all;
        --type boolean_vector is array(natural range<>) of boolean;
        --type boolean_vector is array(natural range<>) of boolean;
        --subtype t_qualifier is boolean_vector(32/8-1 downto 0);
        --subtype t_qualifier is boolean_vector(32/8-1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.