OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 43... Line 43...
entity user is port(
entity user is port(
        /* Comment-out for simulation. */
        /* Comment-out for simulation. */
--      clk,reset:in std_ulogic;
--      clk,reset:in std_ulogic;
 
 
        /* AXI Master interface */
        /* AXI Master interface */
--      axiMaster_in:in tAxi4StreamTransactor_s2m;
--      axiMaster_in:in t_axi4StreamTransactor_s2m;
        axiMaster_out:buffer tAxi4StreamTransactor_m2s
        axiMaster_out:buffer t_axi4StreamTransactor_m2s
 
 
        /* Debug ports. */
        /* Debug ports. */
);
);
end entity user;
end entity user;
 
 
Line 57... Line 57...
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        signal symbolsPerTransfer:t_cnt;
        signal symbolsPerTransfer:t_cnt;
        signal outstandingTransactions:t_cnt;
        signal outstandingTransactions:t_cnt;
 
 
        /* BFM signalling. */
        /* BFM signalling. */
        signal readRequest,next_readRequest:tBfmCtrl:=((others=>'0'),(others=>'0'),false);
        signal readRequest,next_readRequest:t_bfm:=((others=>'0'),(others=>'0'),false);
        signal writeRequest,next_writeRequest:tBfmCtrl:=((others=>'0'),(others=>'0'),false);
        signal writeRequest,next_writeRequest:t_bfm:=((others=>'0'),(others=>'0'),false);
        signal readResponse,next_readResponse:tBfmCtrl;
        signal readResponse,next_readResponse:t_bfm;
        signal writeResponse,next_writeResponse:tBfmCtrl;
        signal writeResponse,next_writeResponse:t_bfm;
 
 
 
 
        /* Tester signals. */
        /* Tester signals. */
        /* synthesis translate_off */
        /* synthesis translate_off */
        signal clk,reset:std_ulogic:='0';
        signal clk,reset:std_ulogic:='0';
        signal axiMaster_in:tAxi4StreamTransactor_s2m;
        signal axiMaster_in:t_axi4StreamTransactor_s2m;
        /* synthesis translate_on */
        /* synthesis translate_on */
 
 
        signal irq_write:std_ulogic;            -- clock gating.
        signal irq_write:std_ulogic;            -- clock gating.
 
 
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.