OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [LumaChromaParallel/] [mkTH.bsv] - Diff between revs 87 and 91

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 87 Rev 91
Line 65... Line 65...
      if(cyclecount[4:0]==0) $display( "CCLCycleCount %0d", cyclecount );
      if(cyclecount[4:0]==0) $display( "CCLCycleCount %0d", cyclecount );
      cyclecount <= cyclecount+1;
      cyclecount <= cyclecount+1;
      if(cyclecount > 600000000)
      if(cyclecount > 600000000)
         begin
         begin
            $display( "ERROR mkTH: time out" );
            $display( "ERROR mkTH: time out" );
 
            $finish(0);
         end
         end
   endrule
   endrule
 
 
   // Internal connections
   // Internal connections
 
 
Line 78... Line 79...
   mkConnection( h264.mem_clientP_inter, memP_inter.mem_server );
   mkConnection( h264.mem_clientP_inter, memP_inter.mem_server );
   mkConnection( h264.mem_clientD_data, memD_data.mem_server );
   mkConnection( h264.mem_clientD_data, memD_data.mem_server );
   mkConnection( h264.mem_clientD_parameter, memD_parameter.mem_server );
   mkConnection( h264.mem_clientD_parameter, memD_parameter.mem_server );
   mkConnection( h264.buffer_client_load1, framebuffer.server_load1 );
   mkConnection( h264.buffer_client_load1, framebuffer.server_load1 );
   mkConnection( h264.buffer_client_load2, framebuffer.server_load2 );
   mkConnection( h264.buffer_client_load2, framebuffer.server_load2 );
 
   mkConnection( h264.buffer_client_load3, framebuffer.server_load3 );
   mkConnection( h264.buffer_client_store, framebuffer.server_store );
   mkConnection( h264.buffer_client_store, framebuffer.server_store );
   mkConnection( h264.ioout, finaloutput.ioin );
   mkConnection( h264.ioout, finaloutput.ioin );
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.