OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [DeblockTee.bsv] - Diff between revs 21 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 24
Line 2... Line 2...
import H264Types::*;
import H264Types::*;
 
 
 
 
module mkDeblockTee#(Get#(DeblockFilterOT) inputData, Put#(DeblockFilterOT) outputData, String prefix) ();
module mkDeblockTee#(Get#(DeblockFilterOT) inputData, Put#(DeblockFilterOT) outputData, String prefix) ();
 
 
 
 Reg#(Bit#(32)) cycles <- mkReg(0);
 
 
 
 rule cycleup;
 
   cycles <= cycles + 1;
 
 endrule
 
 
 rule processData;
 rule processData;
   let dataIn <- inputData.get();
   let dataIn <- inputData.get();
   outputData.put(dataIn);
   outputData.put(dataIn);
   $write(prefix);
   $write(prefix);
   case (dataIn) matches
   case (dataIn) matches
     tagged DFBLuma .data: $display("DFBLuma: hor: %d ver:%d data:%h", data.hor, data.ver, data.data);
     tagged DFBLuma .data: $display("DFBLuma(%d): hor: %d ver:%d data:%h\n", cycles,data.hor, data.ver, data.data);
     tagged DFBChroma .data: $display("DFBChroma: flag: %d hor: %d ver:%d data:%h", data.uv, data.hor, data.ver, data.data);
     tagged DFBChroma .data: $display("DFBChroma(%d): flag: %d hor: %d ver:%d data:%h\n", cycles, data.uv, data.hor, data.ver, data.data);
     tagged EndOfFrame: $display("EndOfFrame");
     tagged EndOfFrame: $display("EndOfFrame(%d)", cycles);
     tagged EDOT .data: $display("EDOT");
     tagged EDOT .data: $display("EDOT");
   endcase
   endcase
 endrule
 endrule
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.