OpenCores
URL https://opencores.org/ocsvn/c16/c16/trunk

Subversion Repositories c16

[/] [c16/] [trunk/] [vhdl/] [cpu_pack.vhd] - Diff between revs 2 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 9
Line 226... Line 226...
        constant SY_UI8  : std_logic_vector(3 downto 0) := "1001";
        constant SY_UI8  : std_logic_vector(3 downto 0) := "1001";
        constant SY_SQ   : std_logic_vector(3 downto 0) := "1010";
        constant SY_SQ   : std_logic_vector(3 downto 0) := "1010";
        constant SY_UQ   : std_logic_vector(3 downto 0) := "1011";
        constant SY_UQ   : std_logic_vector(3 downto 0) := "1011";
        constant SY_SM   : std_logic_vector(3 downto 0) := "1100";
        constant SY_SM   : std_logic_vector(3 downto 0) := "1100";
        constant SY_UM   : std_logic_vector(3 downto 0) := "1101";
        constant SY_UM   : std_logic_vector(3 downto 0) := "1101";
        constant SY_IO   : std_logic_vector(3 downto 0) := "1110";
 
        constant SY_ANY  : std_logic_vector(3 downto 0) := SY_RR;
        constant SY_ANY  : std_logic_vector(3 downto 0) := SY_RR;
--------------------------------------------------------------
--------------------------------------------------------------
        constant PC_NEXT : std_logic_vector(2 downto 0) := "000";        -- count up
        constant PC_NEXT : std_logic_vector(2 downto 0) := "000";        -- count up
        constant PC_JMP  : std_logic_vector(2 downto 0) := "001";        -- JMP/CALL
        constant PC_JMP  : std_logic_vector(2 downto 0) := "001";        -- JMP/CALL
        constant PC_RETH : std_logic_vector(2 downto 0) := "010";        -- RET (H)
        constant PC_RETH : std_logic_vector(2 downto 0) := "010";        -- RET (H)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.