OpenCores
URL https://opencores.org/ocsvn/c16/c16/trunk

Subversion Repositories c16

[/] [c16/] [trunk/] [vhdl/] [memory.vhd] - Diff between revs 2 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 21
Line 325... Line 325...
                                RSTA  => '0',                                    RSTB  => '0',
                                RSTA  => '0',                                    RSTB  => '0',
                                WEA   => '0',                                    WEB   => WR_1,
                                WEA   => '0',                                    WEB   => WR_1,
                                DOA   => OPC_1(7 downto 7),             DOB   => OUT_1(7 downto 7)
                                DOA   => OPC_1(7 downto 7),             DOB   => OUT_1(7 downto 7)
                        );
                        );
 
 
        process(CLK_I)
        process(CLK_I)    -- new
        begin
        begin
                if (rising_edge(CLK_I)) then
                if (rising_edge(CLK_I) and T2 = '1') then
                        if (T2 = '1') then
 
                                if (CE = '1') then
                                if (CE = '1') then
                                        LADR <= ADR(15 downto 12);
                                        LADR <= ADR(15 downto 12);
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
 
        end process;
        end process;
 
 
 
 
        process(LADR, OUT_0, OUT_1)
        process(LADR, OUT_0, OUT_1)
        begin
        begin
 
 
                case LADR is
                case LADR is
                        when "0001" =>  RDAT <= OUT_1;
                        when "0001" =>  RDAT <= OUT_1;
Line 348... Line 347...
 
 
        end process;
        end process;
 
 
        process(CLK_I)
        process(CLK_I)
        begin
        begin
                if (rising_edge(CLK_I)) then
                if (rising_edge(CLK_I) and T2 = '0') then
                        if (T2 = '0') then
 
                                if (CE = '1') then
                                if (CE = '1') then
                                        LPC <= PC(15 downto 12);
                                        LPC <= PC(15 downto 12);
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
 
        end process;
        end process;
 
 
        process(LPC, OPC_0, OPC_1)
        process(LPC, OPC_0, OPC_1)
        begin
        begin
                case LPC is
                case LPC is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.