OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_11/] [rtl/] [verilog/] [can_registers.v] - Diff between revs 92 and 93

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 92 Rev 93
Line 48... Line 48...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.26  2003/06/22 01:33:14  mohor
 
// clkout is clk/2 after the reset.
 
//
// Revision 1.25  2003/06/21 12:16:30  mohor
// Revision 1.25  2003/06/21 12:16:30  mohor
// paralel_case and full_case compiler directives added to case statements.
// paralel_case and full_case compiler directives added to case statements.
//
//
// Revision 1.24  2003/06/09 11:22:54  mohor
// Revision 1.24  2003/06/09 11:22:54  mohor
// data_out is already registered in the can_top.v file.
// data_out is already registered in the can_top.v file.
Line 733... Line 736...
 
 
 
 
 
 
always @ (cd)
always @ (cd)
begin
begin
  case (cd)                       /* synthesis full_case synthesis parallel_case */
  case (cd)                       /* synthesis full_case parallel_case */
    3'b000 : clkout_div <= 0;
    3'b000 : clkout_div <= 0;
    3'b001 : clkout_div <= 1;
    3'b001 : clkout_div <= 1;
    3'b010 : clkout_div <= 2;
    3'b010 : clkout_div <= 2;
    3'b011 : clkout_div <= 3;
    3'b011 : clkout_div <= 3;
    3'b100 : clkout_div <= 4;
    3'b100 : clkout_div <= 4;
Line 1026... Line 1029...
begin
begin
  if(read)  // read
  if(read)  // read
    begin
    begin
      if (extended_mode)    // EXTENDED mode (Different register map depends on mode)
      if (extended_mode)    // EXTENDED mode (Different register map depends on mode)
        begin
        begin
          case(addr)  /* synthesis full_case synthesis parallel_case */
          case(addr)  /* synthesis full_case parallel_case */
            8'd0  :  data_out <= {4'b0000, mode_ext[3:1], mode[0]};
            8'd0  :  data_out <= {4'b0000, mode_ext[3:1], mode[0]};
            8'd1  :  data_out <= 8'h0;
            8'd1  :  data_out <= 8'h0;
            8'd2  :  data_out <= status;
            8'd2  :  data_out <= status;
            8'd3  :  data_out <= irq_reg;
            8'd3  :  data_out <= irq_reg;
            8'd4  :  data_out <= irq_en_ext;
            8'd4  :  data_out <= irq_en_ext;
Line 1054... Line 1057...
            8'd26 :  data_out <= 8'h0;
            8'd26 :  data_out <= 8'h0;
            8'd27 :  data_out <= 8'h0;
            8'd27 :  data_out <= 8'h0;
            8'd28 :  data_out <= 8'h0;
            8'd28 :  data_out <= 8'h0;
            8'd29 :  data_out <= {1'b0, rx_message_counter};
            8'd29 :  data_out <= {1'b0, rx_message_counter};
            8'd31 :  data_out <= clock_divider;
            8'd31 :  data_out <= clock_divider;
 
 
            default: data_out <= 8'h0;
 
          endcase
          endcase
        end
        end
      else                  // BASIC mode
      else                  // BASIC mode
        begin
        begin
          case(addr)  /* synthesis full_case synthesis parallel_case */
          case(addr)  /* synthesis full_case parallel_case */
            8'd0  :  data_out <= {3'b001, mode_basic[4:1], mode[0]};
            8'd0  :  data_out <= {3'b001, mode_basic[4:1], mode[0]};
            8'd1  :  data_out <= 8'hff;
            8'd1  :  data_out <= 8'hff;
            8'd2  :  data_out <= status;
            8'd2  :  data_out <= status;
            8'd3  :  data_out <= {4'hf, irq_reg[3:0]};
            8'd3  :  data_out <= {4'hf, irq_reg[3:0]};
            8'd4  :  data_out <= reset_mode? acceptance_code_0 : 8'hff;
            8'd4  :  data_out <= reset_mode? acceptance_code_0 : 8'hff;
Line 1080... Line 1081...
            8'd16 :  data_out <= reset_mode? 8'hff : tx_data_6;
            8'd16 :  data_out <= reset_mode? 8'hff : tx_data_6;
            8'd17 :  data_out <= reset_mode? 8'hff : tx_data_7;
            8'd17 :  data_out <= reset_mode? 8'hff : tx_data_7;
            8'd18 :  data_out <= reset_mode? 8'hff : tx_data_8;
            8'd18 :  data_out <= reset_mode? 8'hff : tx_data_8;
            8'd19 :  data_out <= reset_mode? 8'hff : tx_data_9;
            8'd19 :  data_out <= reset_mode? 8'hff : tx_data_9;
            8'd31 :  data_out <= clock_divider;
            8'd31 :  data_out <= clock_divider;
 
 
            default: data_out <= 8'h0;
 
          endcase
          endcase
        end
        end
    end
    end
  else
  else
    data_out <= 8'h0;
    data_out <= 8'h0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.