OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_24/] [bench/] [verilog/] [can_testbench_defines.v] - Diff between revs 37 and 127

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 37 Rev 127
Line 48... Line 48...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.8  2003/02/18 00:17:44  mohor
 
// Define CAN_CLOCK_DIVIDER_MODE not used any more. Deleted.
 
//
// Revision 1.7  2003/02/09 02:24:11  mohor
// Revision 1.7  2003/02/09 02:24:11  mohor
// Bosch license warning added. Error counters finished. Overload frames
// Bosch license warning added. Error counters finished. Overload frames
// still need to be fixed.
// still need to be fixed.
//
//
// Revision 1.6  2003/01/14 12:19:29  mohor
// Revision 1.6  2003/01/14 12:19:29  mohor
Line 78... Line 81...
 
 
/* Mode register */
/* Mode register */
`define CAN_MODE_RESET                  1'h1    /* Reset mode */
`define CAN_MODE_RESET                  1'h1    /* Reset mode */
 
 
/* Bit Timing 0 register value */
/* Bit Timing 0 register value */
`define CAN_TIMING0_BRP                 6'h1    /* Baud rate prescaler (2*(value+1)) */
`define CAN_TIMING0_BRP                 6'h0    /* Baud rate prescaler (2*(value+1)) */
`define CAN_TIMING0_SJW                 2'h2    /* SJW (value+1) */
`define CAN_TIMING0_SJW                 2'h2    /* SJW (value+1) */
 
 
/* Bit Timing 1 register value */
/* Bit Timing 1 register value */
`define CAN_TIMING1_TSEG1               4'h4    /* TSEG1 segment (value+1) */
`define CAN_TIMING1_TSEG1               4'h4    /* TSEG1 segment (value+1) */
`define CAN_TIMING1_TSEG2               3'h3    /* TSEG2 segment (value+1) */
`define CAN_TIMING1_TSEG2               3'h3    /* TSEG2 segment (value+1) */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.