Line 59... |
Line 59... |
-- 1024 0.0287 29.4
|
-- 1024 0.0287 29.4
|
-- 4096 0.0118 48.2742
|
-- 4096 0.0118 48.2742
|
--
|
--
|
--
|
--
|
---------------------------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------------------------
|
|
--
|
|
-- Revisions : 0
|
|
-- Revision Number : 3
|
|
-- Version : 1.3.0
|
|
-- Date : Nov 19 2002
|
|
-- Modifier : ZHAO Ming
|
|
-- Desccription : add output data position indication
|
|
--
|
|
--
|
|
---------------------------------------------------------------------------------------------------
|
|
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.all;
|
use IEEE.STD_LOGIC_1164.all;
|
use IEEE.STD_LOGIC_ARITH.all;
|
use IEEE.STD_LOGIC_ARITH.all;
|
|
|
Line 80... |
Line 90... |
Iin : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
|
Iin : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
|
Qin : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
|
Qin : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
|
inputbusy : out STD_LOGIC;
|
inputbusy : out STD_LOGIC;
|
outdataen : out STD_LOGIC;
|
outdataen : out STD_LOGIC;
|
Iout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0);
|
Iout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0);
|
Qout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0)
|
Qout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0);
|
|
OutPosition : out STD_LOGIC_VECTOR( 2*STAGE-1 downto 0 )
|
);
|
);
|
end cfft;
|
end cfft;
|
|
|
|
|
architecture cfft of cfft is
|
architecture cfft of cfft is
|
Line 109... |
Line 120... |
waddr : out STD_LOGIC_VECTOR(2*STAGE-1 downto 0);
|
waddr : out STD_LOGIC_VECTOR(2*STAGE-1 downto 0);
|
wen : out std_logic;
|
wen : out std_logic;
|
factorstart : out STD_LOGIC;
|
factorstart : out STD_LOGIC;
|
cfft4start : out STD_LOGIC;
|
cfft4start : out STD_LOGIC;
|
outdataen : out std_logic;
|
outdataen : out std_logic;
|
inputbusy : out std_logic
|
inputbusy : out std_logic;
|
|
OutPosition : out STD_LOGIC_VECTOR( 2*STAGE-1 downto 0 )
|
);
|
);
|
end component;
|
end component;
|
|
|
component blockdram
|
component blockdram
|
generic(
|
generic(
|
Line 217... |
Line 229... |
waddr=>waddr,
|
waddr=>waddr,
|
wen=>wea,
|
wen=>wea,
|
factorstart=>factorstart,
|
factorstart=>factorstart,
|
cfft4start=>cfft4start,
|
cfft4start=>cfft4start,
|
outdataen=>outdataen,
|
outdataen=>outdataen,
|
inputbusy=>inputbusy
|
inputbusy=>inputbusy,
|
|
OutPosition=>OutPosition
|
);
|
);
|
|
|
Iram:blockdram
|
Iram:blockdram
|
generic map (
|
generic map (
|
depth=>POINT,
|
depth=>POINT,
|