URL
https://opencores.org/ocsvn/copyblaze/copyblaze/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 6 |
Line 113... |
Line 113... |
WE_O : out std_ulogic;
|
WE_O : out std_ulogic;
|
SEL_O : out std_ulogic_vector(1 downto 0);
|
SEL_O : out std_ulogic_vector(1 downto 0);
|
|
|
STB_O : out std_ulogic;
|
STB_O : out std_ulogic;
|
ACK_I : in std_ulogic;
|
ACK_I : in std_ulogic;
|
CYC_O : out std_ulogic;
|
CYC_O : out std_ulogic
|
|
|
TAGN_O : out std_ulogic_vector(1 downto 0);
|
|
TAGN_I : in std_ulogic_vector(1 downto 0)
|
|
);
|
);
|
end component;
|
end component;
|
|
|
component WBOPRT08
|
component WBOPRT08
|
port(
|
port(
|
Line 209... |
Line 206... |
Freeze_i => iFreeze,
|
Freeze_i => iFreeze,
|
|
|
--------------------------------------------------------------------------------
|
--------------------------------------------------------------------------------
|
-- Signaux Wishbone Interface
|
-- Signaux Wishbone Interface
|
--------------------------------------------------------------------------------
|
--------------------------------------------------------------------------------
|
RST_I => iReset,
|
--RST_I => iReset,
|
--CLK_I => Clk_i,
|
--CLK_I => Clk_i,
|
|
|
ADR_O => open,
|
ADR_O => open,
|
DAT_I => iWbDAT_I,--(others => '0'),
|
DAT_I => iWbDAT_I,--(others => '0'),
|
DAT_O => iWbDAT_O,--open,
|
DAT_O => iWbDAT_O,--open,
|
WE_O => iWbWE,--open,
|
WE_O => iWbWE,--open,
|
SEL_O => open,
|
SEL_O => open,
|
|
|
STB_O => iWbSTB,
|
STB_O => iWbSTB,
|
ACK_I => iWbACK,
|
ACK_I => iWbACK,
|
CYC_O => open,
|
CYC_O => open
|
|
|
TAGN_O => open,
|
|
TAGN_I => (others => '0')
|
|
);
|
);
|
|
|
WB_port : WBOPRT08
|
WB_port : WBOPRT08
|
port map
|
port map
|
(
|
(
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.