OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [bench/] [vhdl/] [tb_copyBlaze_ecoSystem.vhd] - Diff between revs 6 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 13
Line 102... Line 102...
                                Freeze_i                        : in std_ulogic;
                                Freeze_i                        : in std_ulogic;
 
 
                        --------------------------------------------------------------------------------
                        --------------------------------------------------------------------------------
                        -- Signaux Wishbone Interface
                        -- Signaux Wishbone Interface
                        --------------------------------------------------------------------------------
                        --------------------------------------------------------------------------------
                                RST_I                           : in    std_ulogic;
                                --RST_I                         : in    std_ulogic;
                                --CLK_I                         : in    std_ulogic;
                                --CLK_I                         : in    std_ulogic;
 
 
                                ADR_O                           : out   std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
                                ADR_O                           : out   std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
                                DAT_I                           : in    std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
                                DAT_I                           : in    std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
                                DAT_O                           : out   std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
                                DAT_O                           : out   std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.