OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [scripts/] [simulation.tcl] - Diff between revs 5 and 9

Show entire file | Details | Blame | View Log

Rev 5 Rev 9
Line 24... Line 24...
        if {$arg1 eq {core1990}} {
        if {$arg1 eq {core1990}} {
                set_property top testbench_Interface_Test [get_filesets sim_1]
                set_property top testbench_Interface_Test [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
                launch_xsim -simset sim_1 -mode behavioral
                launch_xsim -simset sim_1 -mode behavioral
                open_wave_config {/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/testbench_Interface_Test_behav.wcfg}
                open_wave_config {/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/testbench_interlaken_interface_behav.wcfg}
 
                puts "$arg1 it is, you've chosen wisely"
 
 
        } elseif {$arg1 eq {interface}} {
        } elseif {$arg1 eq {interface}} {
 
 
                set_property top testbench_interlaken_interface [get_filesets sim_1]
                set_property top testbench_interlaken_interface [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
                launch_xsim -simset sim_1 -mode behavioral
                launch_xsim -simset sim_1 -mode behavioral
                puts "$arg1 it is, you've chosen wisely"
 
 
 
        } elseif {$arg1 eq {decoder}} {
        } elseif {$arg1 eq {decoder}} {
 
 
                set_property top testbench_decoder [get_filesets sim_1]
                set_property top testbench_decoder [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_lib work [get_filesets sim_1]
                set_property top_arch tb_decoder [get_filesets sim_1]
                set_property top_arch tb_decoder [get_filesets sim_1]
                launch_xsim -simset sim_1 -mode behavioral
                launch_xsim -simset sim_1 -mode behavioral
 
 
        } elseif {$arg1 eq {-help} } {
        } elseif {$arg1 eq {-help} } {
                puts "Seems you need help\n"
                puts "Seems you need help\n"
                puts "Run the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : interface , decoder."
                puts "Run the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : core1990, interface , decoder."
        } else {
        } else {
                puts "No valid command"
                puts "No valid command"
        }
        }
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.