OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [simulation/] [Core1990_Test_tb.vhd] - Diff between revs 6 and 9

Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 15... Line 15...
    signal System_Clock_In_N : std_logic;
    signal System_Clock_In_N : std_logic;
 
 
    signal GTREFCLK_IN_P : std_logic;
    signal GTREFCLK_IN_P : std_logic;
    signal GTREFCLK_IN_N : std_logic;
    signal GTREFCLK_IN_N : std_logic;
 
 
 
    signal USER_CLK_IN_P : std_logic;
 
    signal USER_CLK_IN_N : std_logic;
 
 
 
    signal USER_SMA_CLK_OUT_P : std_logic;
 
    signal USER_SMA_CLK_OUT_N : std_logic;
 
 
    signal TX_Out_P     : std_logic;
    signal TX_Out_P     : std_logic;
    signal TX_Out_N     : std_logic;
    signal TX_Out_N     : std_logic;
    signal RX_In_P      : std_logic;
    signal RX_In_P      : std_logic;
    signal RX_In_N      : std_logic;
    signal RX_In_N      : std_logic;
 
 
 
    signal Lock_Out     : std_logic;
    signal valid_out    : std_logic;
    signal valid_out    : std_logic;
 
 
begin
begin
    RX_In_N <=  TX_Out_N;
    RX_In_N <=  TX_Out_N;
    RX_In_P <=  TX_Out_P;
    RX_In_P <=  TX_Out_P;
 
 
    uut : entity work.Interface_Test
    uut : entity work.Interface_Test
    port map (
    port map (
 
 
        System_Clock_In_P => System_Clock_In_P,
        System_Clock_In_P => System_Clock_In_P,
        System_Clock_In_N => System_Clock_In_N,
        System_Clock_In_N => System_Clock_In_N,
 
 
        GTREFCLK_IN_P => GTREFCLK_IN_P,
        GTREFCLK_IN_P => GTREFCLK_IN_P,
        GTREFCLK_IN_N => GTREFCLK_IN_N,
        GTREFCLK_IN_N => GTREFCLK_IN_N,
 
 
 
        USER_CLK_IN_P => USER_CLK_IN_P,
 
        USER_CLK_IN_N => USER_CLK_IN_N,
 
 
 
        USER_SMA_CLK_OUT_P => USER_SMA_CLK_OUT_P,
 
        USER_SMA_CLK_OUT_N => USER_SMA_CLK_OUT_N,
 
 
        RX_In_N => RX_In_N,
        RX_In_N => RX_In_N,
        RX_In_P => RX_In_P,
        RX_In_P => RX_In_P,
        TX_Out_N => TX_Out_N,
        TX_Out_N => TX_Out_N,
        TX_Out_P => TX_Out_P,
        TX_Out_P => TX_Out_P,
 
 
 
        Lock_Out  => Lock_Out,
        valid_out => valid_out
        valid_out => valid_out
    );
    );
 
 
    process
    process
    begin
    begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.