OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [simulation/] [framing_burst_tb.vhd] - Diff between revs 6 and 9

Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 4... Line 4...
entity testbench_burst is
entity testbench_burst is
end entity testbench_burst;
end entity testbench_burst;
 
 
architecture tb_burst of testbench_burst is
architecture tb_burst of testbench_burst is
 
 
 
 
  --for uut : CRC use entity work.CRC(error_check);
 
 
 
    constant BurstMax   : positive := 64; --256
    constant BurstMax   : positive := 64; --256
    constant BurstShort : positive := 32;  --512 - 256 - 128 - 64 - 32
    constant BurstShort : positive := 32;  --512 - 256 - 128 - 64 - 32
 
 
        signal clk                              : std_logic;                                -- System clock
        signal clk                              : std_logic;                                -- System clock
        signal reset                    : std_logic;                                -- Reset, use for initialization.
        signal reset                    : std_logic;                                -- Reset, use for initialization.
Line 25... Line 22...
        signal Data_in : std_logic_vector(63 downto 0);         -- Input data
        signal Data_in : std_logic_vector(63 downto 0);         -- Input data
        signal Data_out : std_logic_vector(63 downto 0);       -- To scrambling/framing
        signal Data_out : std_logic_vector(63 downto 0);       -- To scrambling/framing
        signal Data_valid_out : std_logic;                                              -- Indicate data transmitted is valid
        signal Data_valid_out : std_logic;                                              -- Indicate data transmitted is valid
        signal Data_control_out : std_logic;                   -- Control word indication
        signal Data_control_out : std_logic;                   -- Control word indication
 
 
        --signal CRC24_TX : std_logic_vector(63 downto 0);        -- Data to CRC-24
 
        --signal CRC24_Cal : std_logic_vector(63 downto 0);             -- Calculated CRC-24
 
        --signal CRC24_En : std_logic;                                                  -- Indicate the CRC-24 the data is valid
 
 
 
    signal FIFO_meta : std_logic;
    signal FIFO_meta : std_logic;
        signal FIFO_read : std_logic;                                           -- Request data from the FIFO
        signal FIFO_read : std_logic;                                           -- Request data from the FIFO
        signal FIFO_data : std_logic_vector(9 downto 0);         -- Determines how many bytes have to be transmitted
        signal FIFO_data : std_logic_vector(9 downto 0);         -- Determines how many bytes have to be transmitted
 
 
 
 
 
 
 constant CLK_PERIOD : time := 10 ns;
 constant CLK_PERIOD : time := 10 ns;
 
 
begin
begin
  uut : entity work.burst
    uut : entity work.Burst_Framer
  generic map(
  generic map(
    BurstShort => BurstShort,
    BurstShort => BurstShort,
    BurstMax => BurstMax
    BurstMax => BurstMax
  )
  )
  port map (
  port map (
Line 56... Line 49...
    TX_Channel => TX_Channel,
    TX_Channel => TX_Channel,
    Data_in => Data_in,
    Data_in => Data_in,
    Data_out => Data_out,
    Data_out => Data_out,
    Data_valid_out => Data_valid_out,
    Data_valid_out => Data_valid_out,
    Data_control_out => Data_control_out,
    Data_control_out => Data_control_out,
    --CRC24_TX => CRC24_TX,
 
    --CRC24_Cal => CRC24_Cal,
 
    --CRC24_En => CRC24_En,
 
    FIFO_read => FIFO_read,
    FIFO_read => FIFO_read,
    FIFO_meta => FIFO_meta,
    FIFO_meta => FIFO_meta,
    FIFO_data => FIFO_data
    FIFO_data => FIFO_data
  );
  );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.