Line 3... |
Line 3... |
|
|
entity testbench_meta is
|
entity testbench_meta is
|
end entity testbench_meta;
|
end entity testbench_meta;
|
|
|
architecture tb_meta of testbench_meta is
|
architecture tb_meta of testbench_meta is
|
|
signal clk : std_logic;
|
signal clk : std_logic; -- System clock
|
signal reset : std_logic;
|
signal reset : std_logic; -- Reset, use for initialization.
|
|
|
|
signal TX_Enable : std_logic;
|
signal TX_Enable : std_logic;
|
signal HealthLane : std_logic := '0';
|
|
signal HealthInterface : std_logic := '0';
|
|
|
|
signal Data_in : std_logic_vector(63 downto 0); -- Input data
|
signal HealthLane : std_logic; -- Lane status bit transmitted in diagnostic
|
signal Data_out : std_logic_vector(63 downto 0); -- To scrambling/framing
|
signal HealthInterface : std_logic; -- Interface status bit transmitted in diagnostic
|
signal Data_valid_in : std_logic; -- Indicate data transmitted is valid
|
|
signal Data_valid_out : std_logic; -- Indicate data transmitted is valid
|
|
signal Data_Control_In : std_logic;
|
|
signal Data_control_out : std_logic; -- Control word indication
|
|
|
|
signal Gearboxready : std_logic;
|
signal Data_In : std_logic_vector(63 downto 0); -- Input data
|
|
signal Data_Out : std_logic_vector(63 downto 0); -- To scrambling/framing
|
|
signal Data_Valid_In : std_logic; -- Indicate data received is valid
|
|
signal Data_Valid_Out : std_logic; -- Indicate data transmitted is valid
|
|
signal Data_Control_In : std_logic; -- Control word indication from the burst component
|
|
signal Data_Control_Out : std_logic; -- Control word indication
|
|
|
signal FIFO_read : std_logic; -- Request data from the FIFO
|
signal Gearboxready : std_logic;
|
|
signal FIFO_read : std_logic;
|
|
|
constant CLK_PERIOD : time := 10 ns;
|
constant CLK_PERIOD : time := 10 ns;
|
|
|
begin
|
begin
|
uut : entity work.metaframing
|
uut : entity work.Meta_Framer
|
port map (
|
port map (
|
clk => clk,
|
clk => clk,
|
reset => reset,
|
reset => reset,
|
|
|
TX_Enable => TX_Enable,
|
TX_Enable => TX_Enable,
|
|
|
HealthLane => HealthLane,
|
HealthLane => HealthLane,
|
HealthInterface => HealthInterface,
|
HealthInterface => HealthInterface,
|
|
|
Data_in => Data_in,
|
Data_in => Data_in,
|
Data_out => Data_out,
|
Data_out => Data_out,
|
Data_valid_in => Data_valid_in,
|
Data_valid_in => Data_valid_in,
|
Data_valid_out => Data_valid_out,
|
Data_valid_out => Data_valid_out,
|
Data_control_in => Data_control_in,
|
Data_control_in => Data_control_in,
|
Data_control_out => Data_control_out,
|
Data_control_out => Data_control_out,
|
|
|
Gearboxready => Gearboxready,
|
Gearboxready => Gearboxready,
|
FIFO_read => FIFO_read
|
FIFO_read => FIFO_read
|
);
|
);
|
|
|
Clk_process :process
|
Clk_process :process
|