OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [.Makefile.dep] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
?rev1line?
?rev2line?
 
# Automatically generated by "make dep"
 
tags/vhdl_arith_cnt_arith_cnt8: work tags  tags/vhdl_arith_cnt_arith_cnt_comp vhdl/arith/cnt/arith_cnt8.vhd
 
        $(VCOM) vhdl/arith/cnt/arith_cnt8.vhd
 
        @touch tags/vhdl_arith_cnt_arith_cnt8
 
tags/vhdl_arith_cnt_arith_cnt_comp: work tags  vhdl/arith/cnt/arith_cnt_comp.vhd
 
        $(VCOM) vhdl/arith/cnt/arith_cnt_comp.vhd
 
        @touch tags/vhdl_arith_cnt_arith_cnt_comp
 
tags/vhdl_arm_arm_comp: work tags  tags/vhdl_bus_amba tags/vhdl_core_libs_corelib tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_cache_comp tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/arm_comp.vhd
 
        $(VCOM) vhdl/arm/arm_comp.vhd
 
        @touch tags/vhdl_arm_arm_comp
 
tags/vhdl_arm_arm_proc: work tags  tags/vhdl_bus_amba tags/vhdl_core_libs_corelib tags/vhdl_arm_armcache tags/vhdl_arm_armiu tags/vhdl_arm_arm_comp tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_cache_comp vhdl/arm/arm_proc.vhd
 
        $(VCOM) vhdl/arm/arm_proc.vhd
 
        @touch tags/vhdl_arm_arm_proc
 
tags/vhdl_arm_armcache: work tags  tags/vhdl_bus_amba tags/vhdl_bus_ahbmst_mp tags/vhdl_bus_bus_comp tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_gencmem tags/vhdl_mem_cache_genic tags/vhdl_mem_cache_genwb tags/vhdl_mem_cache_gendc tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_gencmem tags/vhdl_mem_cache_genic tags/vhdl_mem_cache_genwb tags/vhdl_mem_cache_gendc tags/vhdl_mem_cache_cache_comp tags/vhdl_bus_ahbmst_mp tags/vhdl_bus_bus_comp vhdl/arm/armcache.vhd
 
        $(VCOM) vhdl/arm/armcache.vhd
 
        @touch tags/vhdl_arm_armcache
 
tags/vhdl_arm_armcmd_al: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_al.vhd
 
        $(VCOM) vhdl/arm/armcmd_al.vhd
 
        @touch tags/vhdl_arm_armcmd_al
 
tags/vhdl_arm_armcmd_bl: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_bl.vhd
 
        $(VCOM) vhdl/arm/armcmd_bl.vhd
 
        @touch tags/vhdl_arm_armcmd_bl
 
tags/vhdl_arm_armcmd_cl: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cl.vhd
 
        $(VCOM) vhdl/arm/armcmd_cl.vhd
 
        @touch tags/vhdl_arm_armcmd_cl
 
tags/vhdl_arm_armcmd_comp: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpctrl tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/armcmd_comp.vhd
 
        $(VCOM) vhdl/arm/armcmd_comp.vhd
 
        @touch tags/vhdl_arm_armcmd_comp
 
tags/vhdl_arm_armcmd_cr: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cr.vhd
 
        $(VCOM) vhdl/arm/armcmd_cr.vhd
 
        @touch tags/vhdl_arm_armcmd_cr
 
tags/vhdl_arm_armcmd_cs: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cs.vhd
 
        $(VCOM) vhdl/arm/armcmd_cs.vhd
 
        @touch tags/vhdl_arm_armcmd_cs
 
tags/vhdl_arm_armcmd_ld: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armcmd tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_ld.vhd
 
        $(VCOM) vhdl/arm/armcmd_ld.vhd
 
        @touch tags/vhdl_arm_armcmd_ld
 
tags/vhdl_arm_armcmd_lm: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_lm.vhd
 
        $(VCOM) vhdl/arm/armcmd_lm.vhd
 
        @touch tags/vhdl_arm_armcmd_lm
 
tags/vhdl_arm_armcmd_sm: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sm.vhd
 
        $(VCOM) vhdl/arm/armcmd_sm.vhd
 
        @touch tags/vhdl_arm_armcmd_sm
 
tags/vhdl_arm_armcmd_sr: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sr.vhd
 
        $(VCOM) vhdl/arm/armcmd_sr.vhd
 
        @touch tags/vhdl_arm_armcmd_sr
 
tags/vhdl_arm_armcmd_st: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armcmd tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_st.vhd
 
        $(VCOM) vhdl/arm/armcmd_st.vhd
 
        @touch tags/vhdl_arm_armcmd_st
 
tags/vhdl_arm_armcmd_sw: work tags  tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sw.vhd
 
        $(VCOM) vhdl/arm/armcmd_sw.vhd
 
        @touch tags/vhdl_arm_armcmd_sw
 
tags/vhdl_arm_armiu: work tags  tags/vhdl_core_libs_corelib tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_arm_armiu_imstg tags/vhdl_arm_armiu_festg tags/vhdl_arm_armiu_destg tags/vhdl_arm_armiu_drstg tags/vhdl_arm_armiu_rrstg tags/vhdl_arm_armiu_rsstg tags/vhdl_arm_armiu_exstg tags/vhdl_arm_armiu_dmstg tags/vhdl_arm_armiu_mestg tags/vhdl_arm_armiu_wrstg tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_cp_libs_armcoproc tags/vhdl_arm_cp_armcp_sctrl tags/vhdl_arm_cp_armcp_comp tags/vhdl_mem_cache_cache_comp vhdl/arm/armiu.vhd
 
        $(VCOM) vhdl/arm/armiu.vhd
 
        @touch tags/vhdl_arm_armiu
 
tags/vhdl_arm_armiu_destg: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_libs_int tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_destg.vhd
 
        $(VCOM) vhdl/arm/armiu_destg.vhd
 
        @touch tags/vhdl_arm_armiu_destg
 
tags/vhdl_arm_armiu_dmstg: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_arm_comp vhdl/arm/armiu_dmstg.vhd
 
        $(VCOM) vhdl/arm/armiu_dmstg.vhd
 
        @touch tags/vhdl_arm_armiu_dmstg
 
tags/vhdl_arm_armiu_drstg: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdebug tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_armcmd_al tags/vhdl_arm_armcmd_sr tags/vhdl_arm_armcmd_bl tags/vhdl_arm_armcmd_ld tags/vhdl_arm_armcmd_st tags/vhdl_arm_armcmd_lm tags/vhdl_arm_armcmd_sm tags/vhdl_arm_armcmd_sw tags/vhdl_arm_armcmd_cr tags/vhdl_arm_armcmd_cl tags/vhdl_arm_armcmd_cs tags/vhdl_arm_armcmd_comp tags/vhdl_arm_arm_comp vhdl/arm/armiu_drstg.vhd
 
        $(VCOM) vhdl/arm/armiu_drstg.vhd
 
        @touch tags/vhdl_arm_armiu_drstg
 
tags/vhdl_arm_armiu_exstg: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armdebug tags/vhdl_arm_arm_comp vhdl/arm/armiu_exstg.vhd
 
        $(VCOM) vhdl/arm/armiu_exstg.vhd
 
        @touch tags/vhdl_arm_armiu_exstg
 
tags/vhdl_arm_armiu_festg: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_festg.vhd
 
        $(VCOM) vhdl/arm/armiu_festg.vhd
 
        @touch tags/vhdl_arm_armiu_festg
 
tags/vhdl_arm_armiu_imstg: work tags  tags/vhdl_libs_int tags/vhdl_arm_arm_comp vhdl/arm/armiu_imstg.vhd
 
        $(VCOM) vhdl/arm/armiu_imstg.vhd
 
        @touch tags/vhdl_arm_armiu_imstg
 
tags/vhdl_arm_armiu_mestg: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpmodel tags/vhdl_core_ctrl_ctrl_comp vhdl/arm/armiu_mestg.vhd
 
        $(VCOM) vhdl/arm/armiu_mestg.vhd
 
        @touch tags/vhdl_arm_armiu_mestg
 
tags/vhdl_arm_armiu_rrstg: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_libs_int tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdebug tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_arm_comp vhdl/arm/armiu_rrstg.vhd
 
        $(VCOM) vhdl/arm/armiu_rrstg.vhd
 
        @touch tags/vhdl_arm_armiu_rrstg
 
tags/vhdl_arm_armiu_rsstg: work tags  tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_rsstg.vhd
 
        $(VCOM) vhdl/arm/armiu_rsstg.vhd
 
        @touch tags/vhdl_arm_armiu_rsstg
 
tags/vhdl_arm_armiu_wrstg: work tags  tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/armiu_wrstg.vhd
 
        $(VCOM) vhdl/arm/armiu_wrstg.vhd
 
        @touch tags/vhdl_arm_armiu_wrstg
 
tags/vhdl_arm_cp_armcp_comp: work tags  tags/vhdl_arm_cp_libs_armcoproc vhdl/arm/cp/armcp_comp.vhd
 
        $(VCOM) vhdl/arm/cp/armcp_comp.vhd
 
        @touch tags/vhdl_arm_cp_armcp_comp
 
tags/vhdl_arm_cp_armcp_sctrl: work tags  tags/vhdl_libs_int tags/vhdl_arm_cp_libs_armcoproc tags/vhdl_arm_cp_libs_armsctrl tags/vhdl_arm_cp_armcp_comp vhdl/arm/cp/armcp_sctrl.vhd
 
        $(VCOM) vhdl/arm/cp/armcp_sctrl.vhd
 
        @touch tags/vhdl_arm_cp_armcp_sctrl
 
tags/vhdl_arm_cp_libs_armcoproc: work tags  tags/vhdl_core_libs_corelib vhdl/arm/cp/libs/armcoproc.vhd
 
        $(VCOM) vhdl/arm/cp/libs/armcoproc.vhd
 
        @touch tags/vhdl_arm_cp_libs_armcoproc
 
tags/vhdl_arm_cp_libs_armsctrl: work tags  vhdl/arm/cp/libs/armsctrl.vhd
 
        $(VCOM) vhdl/arm/cp/libs/armsctrl.vhd
 
        @touch tags/vhdl_arm_cp_libs_armsctrl
 
tags/vhdl_arm_libs_armcmd: work tags  tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armcmd.vhd
 
        $(VCOM) vhdl/arm/libs/armcmd.vhd
 
        @touch tags/vhdl_arm_libs_armcmd
 
tags/vhdl_arm_libs_armcmd_iface: work tags  vhdl/arm/libs/armcmd_iface.vhd
 
        $(VCOM) vhdl/arm/libs/armcmd_iface.vhd
 
        @touch tags/vhdl_arm_libs_armcmd_iface
 
tags/vhdl_arm_libs_armctrl: work tags  tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/libs/armctrl.vhd
 
        $(VCOM) vhdl/arm/libs/armctrl.vhd
 
        @touch tags/vhdl_arm_libs_armctrl
 
tags/vhdl_arm_libs_armdebug: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpmodel vhdl/arm/libs/armdebug.vhd
 
        $(VCOM) vhdl/arm/libs/armdebug.vhd
 
        @touch tags/vhdl_arm_libs_armdebug
 
tags/vhdl_arm_libs_armdecode: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armshiefter vhdl/arm/libs/armdecode.vhd
 
        $(VCOM) vhdl/arm/libs/armdecode.vhd
 
        @touch tags/vhdl_arm_libs_armdecode
 
tags/vhdl_arm_libs_armiu_iface: work tags  vhdl/arm/libs/armiu_iface.vhd
 
        $(VCOM) vhdl/arm/libs/armiu_iface.vhd
 
        @touch tags/vhdl_arm_libs_armiu_iface
 
tags/vhdl_arm_libs_armldst: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armldst.vhd
 
        $(VCOM) vhdl/arm/libs/armldst.vhd
 
        @touch tags/vhdl_arm_libs_armldst
 
tags/vhdl_arm_libs_armpctrl: work tags  tags/vhdl_core_libs_corelib tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armpctrl.vhd
 
        $(VCOM) vhdl/arm/libs/armpctrl.vhd
 
        @touch tags/vhdl_arm_libs_armpctrl
 
tags/vhdl_arm_libs_armpmodel: work tags  vhdl/arm/libs/armpmodel.vhd
 
        $(VCOM) vhdl/arm/libs/armpmodel.vhd
 
        @touch tags/vhdl_arm_libs_armpmodel
 
tags/vhdl_arm_libs_armshiefter: work tags  vhdl/arm/libs/armshiefter.vhd
 
        $(VCOM) vhdl/arm/libs/armshiefter.vhd
 
        @touch tags/vhdl_arm_libs_armshiefter
 
tags/vhdl_arm_tbench_armcache: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib vhdl/arm/tbench_armcache.vhd
 
        $(VCOM) vhdl/arm/tbench_armcache.vhd
 
        @touch tags/vhdl_arm_tbench_armcache
 
tags/vhdl_bus_ahbarb: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/bus/ahbarb.vhd
 
        $(VCOM) vhdl/bus/ahbarb.vhd
 
        @touch tags/vhdl_bus_ahbarb
 
tags/vhdl_bus_ahbmst_mp: work tags  tags/vhdl_bus_amba tags/vhdl_libs_memdef tags/vhdl_libs_int tags/vhdl_bus_bus_comp vhdl/bus/ahbmst_mp.vhd
 
        $(VCOM) vhdl/bus/ahbmst_mp.vhd
 
        @touch tags/vhdl_bus_ahbmst_mp
 
tags/vhdl_bus_amba: work tags  vhdl/bus/amba.vhd
 
        $(VCOM) vhdl/bus/amba.vhd
 
        @touch tags/vhdl_bus_amba
 
tags/vhdl_bus_apbmst: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/bus/apbmst.vhd
 
        $(VCOM) vhdl/bus/apbmst.vhd
 
        @touch tags/vhdl_bus_apbmst
 
tags/vhdl_bus_bus_comp: work tags  tags/vhdl_sparc_leon_target tags/vhdl_bus_amba tags/vhdl_libs_memdef vhdl/bus/bus_comp.vhd
 
        $(VCOM) vhdl/bus/bus_comp.vhd
 
        @touch tags/vhdl_bus_bus_comp
 
tags/vhdl_config: work tags  tags/vhdl_libs_memdef vhdl/config.vhd
 
        $(VCOM) vhdl/config.vhd
 
        @touch tags/vhdl_config
 
tags/vhdl_core_core: work tags  tags/vhdl_config tags/vhdl_core_libs_corelib tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_core_soc_gen tags/vhdl_core_core_comp tags/vhdl_core_core_config tags/vhdl_core_ctrl_rstgen tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp vhdl/core/core.vhd
 
        $(VCOM) vhdl/core/core.vhd
 
        @touch tags/vhdl_core_core
 
tags/vhdl_core_core_comp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_core_core_config tags/vhdl_core_libs_corelib tags/vhdl_peripherals_mem_peri_mem_comp vhdl/core/core_comp.vhd
 
        $(VCOM) vhdl/core/core_comp.vhd
 
        @touch tags/vhdl_core_core_comp
 
tags/vhdl_core_core_config: work tags  vhdl/core/core_config.vhd
 
        $(VCOM) vhdl/core/core_config.vhd
 
        @touch tags/vhdl_core_core_config
 
tags/vhdl_core_ctrl_ctrl_comp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/core/ctrl/ctrl_comp.vhd
 
        $(VCOM) vhdl/core/ctrl/ctrl_comp.vhd
 
        @touch tags/vhdl_core_ctrl_ctrl_comp
 
tags/vhdl_core_ctrl_ctrl_config: work tags  vhdl/core/ctrl/ctrl_config.vhd
 
        $(VCOM) vhdl/core/ctrl/ctrl_config.vhd
 
        @touch tags/vhdl_core_ctrl_ctrl_config
 
tags/vhdl_core_ctrl_irqctrl: work tags  tags/vhdl_libs_int tags/vhdl_sparc_leon_iface tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_bus_amba vhdl/core/ctrl/irqctrl.vhd
 
        $(VCOM) vhdl/core/ctrl/irqctrl.vhd
 
        @touch tags/vhdl_core_ctrl_irqctrl
 
tags/vhdl_core_ctrl_irqctrl2: work tags  tags/vhdl_core_ctrl_ctrl_config tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_bus_amba tags/vhdl_libs_log tags/vhdl_sparc_leon_config vhdl/core/ctrl/irqctrl2.vhd
 
        $(VCOM) vhdl/core/ctrl/irqctrl2.vhd
 
        @touch tags/vhdl_core_ctrl_irqctrl2
 
tags/vhdl_core_ctrl_rstgen: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/core/ctrl/rstgen.vhd
 
        $(VCOM) vhdl/core/ctrl/rstgen.vhd
 
        @touch tags/vhdl_core_ctrl_rstgen
 
tags/vhdl_core_ctrl_timers: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_core_ctrl_ctrl_config tags/vhdl_peripherals_mem_peri_mem_config vhdl/core/ctrl/timers.vhd
 
        $(VCOM) vhdl/core/ctrl/timers.vhd
 
        @touch tags/vhdl_core_ctrl_timers
 
tags/vhdl_core_libs_corelib: work tags  vhdl/core/libs/corelib.vhd
 
        $(VCOM) vhdl/core/libs/corelib.vhd
 
        @touch tags/vhdl_core_libs_corelib
 
tags/vhdl_core_soc_gen: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_irqctrl2 tags/vhdl_core_ctrl_timers tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_core_libs_corelib tags/vhdl_core_core_comp tags/vhdl_core_core_config tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_mctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_arm_arm_proc tags/vhdl_arm_armiu tags/vhdl_arm_arm_comp tags/vhdl_bus_ahbarb tags/vhdl_bus_apbmst tags/vhdl_bus_bus_comp vhdl/core/soc_gen.vhd
 
        $(VCOM) vhdl/core/soc_gen.vhd
 
        @touch tags/vhdl_core_soc_gen
 
tags/vhdl_libs_int: work tags  vhdl/libs/int.vhd
 
        $(VCOM) vhdl/libs/int.vhd
 
        @touch tags/vhdl_libs_int
 
tags/vhdl_libs_log: work tags  vhdl/libs/log.vhd
 
        $(VCOM) vhdl/libs/log.vhd
 
        @touch tags/vhdl_libs_log
 
tags/vhdl_libs_memdef: work tags  tags/vhdl_bus_amba vhdl/libs/memdef.vhd
 
        $(VCOM) vhdl/libs/memdef.vhd
 
        @touch tags/vhdl_libs_memdef
 
tags/vhdl_mem_cache_cache_comp: work tags  tags/vhdl_bus_amba tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_bus_bus_comp vhdl/mem/cache/cache_comp.vhd
 
        $(VCOM) vhdl/mem/cache/cache_comp.vhd
 
        @touch tags/vhdl_mem_cache_cache_comp
 
tags/vhdl_mem_cache_cache_config: work tags  vhdl/mem/cache/cache_config.vhd
 
        $(VCOM) vhdl/mem/cache/cache_config.vhd
 
        @touch tags/vhdl_mem_cache_cache_config
 
tags/vhdl_mem_cache_gencmem: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_tech_tech_map tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_cache_comp vhdl/mem/cache/gencmem.vhd
 
        $(VCOM) vhdl/mem/cache/gencmem.vhd
 
        @touch tags/vhdl_mem_cache_gencmem
 
tags/vhdl_mem_cache_gendc: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_setrepl tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_setrepl_lib tags/vhdl_arith_cnt_arith_cnt8 tags/vhdl_arith_cnt_arith_cnt_comp tags/vhdl_bus_bus_comp vhdl/mem/cache/gendc.vhd
 
        $(VCOM) vhdl/mem/cache/gendc.vhd
 
        @touch tags/vhdl_mem_cache_gendc
 
tags/vhdl_mem_cache_genic: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_bus_bus_comp vhdl/mem/cache/genic.vhd
 
        $(VCOM) vhdl/mem/cache/genic.vhd
 
        @touch tags/vhdl_mem_cache_genic
 
tags/vhdl_mem_cache_genwb: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_genwbfifo tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genwb_lib vhdl/mem/cache/genwb.vhd
 
        $(VCOM) vhdl/mem/cache/genwb.vhd
 
        @touch tags/vhdl_mem_cache_genwb
 
tags/vhdl_mem_cache_genwbfifo: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_tech_tech_map vhdl/mem/cache/genwbfifo.vhd
 
        $(VCOM) vhdl/mem/cache/genwbfifo.vhd
 
        @touch tags/vhdl_mem_cache_genwbfifo
 
tags/vhdl_mem_cache_kecs_wb: work tags  tags/vhdl_config vhdl/mem/cache/kecs_wb.vhd
 
        $(VCOM) vhdl/mem/cache/kecs_wb.vhd
 
        @touch tags/vhdl_mem_cache_kecs_wb
 
tags/vhdl_mem_cache_kecs_wbfifo: work tags  tags/vhdl_config tags/vhdl_tech_tech_map vhdl/mem/cache/kecs_wbfifo.vhd
 
        $(VCOM) vhdl/mem/cache/kecs_wbfifo.vhd
 
        @touch tags/vhdl_mem_cache_kecs_wbfifo
 
tags/vhdl_mem_cache_libs_gencmem_lib: work tags  tags/vhdl_libs_int tags/vhdl_config tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/gencmem_lib.vhd
 
        $(VCOM) vhdl/mem/cache/libs/gencmem_lib.vhd
 
        @touch tags/vhdl_mem_cache_libs_gencmem_lib
 
tags/vhdl_mem_cache_libs_gendc_lib: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_libs_int tags/vhdl_libs_memdef vhdl/mem/cache/libs/gendc_lib.vhd
 
        $(VCOM) vhdl/mem/cache/libs/gendc_lib.vhd
 
        @touch tags/vhdl_mem_cache_libs_gendc_lib
 
tags/vhdl_mem_cache_libs_genic_lib: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_libs_int tags/vhdl_mem_cache_libs_gencmem_lib vhdl/mem/cache/libs/genic_lib.vhd
 
        $(VCOM) vhdl/mem/cache/libs/genic_lib.vhd
 
        @touch tags/vhdl_mem_cache_libs_genic_lib
 
tags/vhdl_mem_cache_libs_genwb_lib: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/genwb_lib.vhd
 
        $(VCOM) vhdl/mem/cache/libs/genwb_lib.vhd
 
        @touch tags/vhdl_mem_cache_libs_genwb_lib
 
tags/vhdl_mem_cache_libs_kecs_libicache: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/kecs_libicache.vhd
 
        $(VCOM) vhdl/mem/cache/libs/kecs_libicache.vhd
 
        @touch tags/vhdl_mem_cache_libs_kecs_libicache
 
tags/vhdl_mem_cache_libs_setrepl_lib: work tags  tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/setrepl_lib.vhd
 
        $(VCOM) vhdl/mem/cache/libs/setrepl_lib.vhd
 
        @touch tags/vhdl_mem_cache_libs_setrepl_lib
 
tags/vhdl_mem_cache_setrepl: work tags  tags/vhdl_libs_int tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_cache_comp vhdl/mem/cache/setrepl.vhd
 
        $(VCOM) vhdl/mem/cache/setrepl.vhd
 
        @touch tags/vhdl_mem_cache_setrepl
 
tags/vhdl_peripherals_io_ioport: work tags  tags/vhdl_sparc_leon_config tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_bus_amba vhdl/peripherals/io/ioport.vhd
 
        $(VCOM) vhdl/peripherals/io/ioport.vhd
 
        @touch tags/vhdl_peripherals_io_ioport
 
tags/vhdl_peripherals_io_peri_io_comp: work tags  tags/vhdl_bus_amba tags/vhdl_peripherals_serial_peri_serial_comp vhdl/peripherals/io/peri_io_comp.vhd
 
        $(VCOM) vhdl/peripherals/io/peri_io_comp.vhd
 
        @touch tags/vhdl_peripherals_io_peri_io_comp
 
tags/vhdl_peripherals_mem_mctrl: work tags  tags/vhdl_peripherals_mem_peri_mem_config tags/vhdl_peripherals_mem_sdmctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_tech_tech_map tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_peripherals_mem_sdmctrl vhdl/peripherals/mem/mctrl.vhd
 
        $(VCOM) vhdl/peripherals/mem/mctrl.vhd
 
        @touch tags/vhdl_peripherals_mem_mctrl
 
tags/vhdl_peripherals_mem_peri_mem_comp: work tags  tags/vhdl_bus_amba tags/vhdl_peripherals_io_peri_io_comp vhdl/peripherals/mem/peri_mem_comp.vhd
 
        $(VCOM) vhdl/peripherals/mem/peri_mem_comp.vhd
 
        @touch tags/vhdl_peripherals_mem_peri_mem_comp
 
tags/vhdl_peripherals_mem_peri_mem_config: work tags  vhdl/peripherals/mem/peri_mem_config.vhd
 
        $(VCOM) vhdl/peripherals/mem/peri_mem_config.vhd
 
        @touch tags/vhdl_peripherals_mem_peri_mem_config
 
tags/vhdl_peripherals_mem_sdmctrl: work tags  tags/vhdl_peripherals_mem_peri_mem_config tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/peripherals/mem/sdmctrl.vhd
 
        $(VCOM) vhdl/peripherals/mem/sdmctrl.vhd
 
        @touch tags/vhdl_peripherals_mem_sdmctrl
 
tags/vhdl_peripherals_mem_wprot: work tags  tags/vhdl_sparc_leon_config tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_bus_amba vhdl/peripherals/mem/wprot.vhd
 
        $(VCOM) vhdl/peripherals/mem/wprot.vhd
 
        @touch tags/vhdl_peripherals_mem_wprot
 
tags/vhdl_peripherals_net_eth_oc: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/peripherals/net/eth_oc.vhd
 
        $(VCOM) vhdl/peripherals/net/eth_oc.vhd
 
        @touch tags/vhdl_peripherals_net_eth_oc
 
tags/vhdl_peripherals_serial_peri_serial_comp: work tags  tags/vhdl_bus_amba vhdl/peripherals/serial/peri_serial_comp.vhd
 
        $(VCOM) vhdl/peripherals/serial/peri_serial_comp.vhd
 
        @touch tags/vhdl_peripherals_serial_peri_serial_comp
 
tags/vhdl_peripherals_serial_uart: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/peripherals/serial/uart.vhd
 
        $(VCOM) vhdl/peripherals/serial/uart.vhd
 
        @touch tags/vhdl_peripherals_serial_uart
 
tags/vhdl_sparc_acache: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_macro vhdl/sparc/acache.vhd
 
        $(VCOM) vhdl/sparc/acache.vhd
 
        @touch tags/vhdl_sparc_acache
 
tags/vhdl_sparc_ahbmst: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/sparc/ahbmst.vhd
 
        $(VCOM) vhdl/sparc/ahbmst.vhd
 
        @touch tags/vhdl_sparc_ahbmst
 
tags/vhdl_sparc_ahbram: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_tech_tech_map vhdl/sparc/ahbram.vhd
 
        $(VCOM) vhdl/sparc/ahbram.vhd
 
        @touch tags/vhdl_sparc_ahbram
 
tags/vhdl_sparc_ahbstat: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/sparc/ahbstat.vhd
 
        $(VCOM) vhdl/sparc/ahbstat.vhd
 
        @touch tags/vhdl_sparc_ahbstat
 
tags/vhdl_sparc_ahbtest: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/ahbtest.vhd
 
        $(VCOM) vhdl/sparc/ahbtest.vhd
 
        @touch tags/vhdl_sparc_ahbtest
 
tags/vhdl_sparc_ambacomp: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp vhdl/sparc/ambacomp.vhd
 
        $(VCOM) vhdl/sparc/ambacomp.vhd
 
        @touch tags/vhdl_sparc_ambacomp
 
tags/vhdl_sparc_bprom: work tags  vhdl/sparc/bprom.vhd
 
        $(VCOM) vhdl/sparc/bprom.vhd
 
        @touch tags/vhdl_sparc_bprom
 
tags/vhdl_sparc_cache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_acache tags/vhdl_sparc_dcache tags/vhdl_sparc_icache vhdl/sparc/cache.vhd
 
        $(VCOM) vhdl/sparc/cache.vhd
 
        @touch tags/vhdl_sparc_cache
 
tags/vhdl_sparc_cachemem: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_tech_tech_map vhdl/sparc/cachemem.vhd
 
        $(VCOM) vhdl/sparc/cachemem.vhd
 
        @touch tags/vhdl_sparc_cachemem
 
tags/vhdl_sparc_dcache: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/sparc/dcache.vhd
 
        $(VCOM) vhdl/sparc/dcache.vhd
 
        @touch tags/vhdl_sparc_dcache
 
tags/vhdl_sparc_dcom: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_dcom_uart tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/dcom.vhd
 
        $(VCOM) vhdl/sparc/dcom.vhd
 
        @touch tags/vhdl_sparc_dcom
 
tags/vhdl_sparc_dcom_uart: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/sparc/dcom_uart.vhd
 
        $(VCOM) vhdl/sparc/dcom_uart.vhd
 
        @touch tags/vhdl_sparc_dcom_uart
 
tags/vhdl_sparc_debug: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface vhdl/sparc/debug.vhd
 
        $(VCOM) vhdl/sparc/debug.vhd
 
        @touch tags/vhdl_sparc_debug
 
tags/vhdl_sparc_div: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/sparc/div.vhd
 
        $(VCOM) vhdl/sparc/div.vhd
 
        @touch tags/vhdl_sparc_div
 
tags/vhdl_sparc_dma: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/dma.vhd
 
        $(VCOM) vhdl/sparc/dma.vhd
 
        @touch tags/vhdl_sparc_dma
 
tags/vhdl_sparc_dsu: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_tech_tech_map vhdl/sparc/dsu.vhd
 
        $(VCOM) vhdl/sparc/dsu.vhd
 
        @touch tags/vhdl_sparc_dsu
 
tags/vhdl_sparc_dsu_mem: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map vhdl/sparc/dsu_mem.vhd
 
        $(VCOM) vhdl/sparc/dsu_mem.vhd
 
        @touch tags/vhdl_sparc_dsu_mem
 
tags/vhdl_sparc_fp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_fpulib tags/vhdl_tbench_debug vhdl/sparc/fp.vhd
 
 
 
tags/vhdl_sparc_fp1eu: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 tags/vhdl_tech_tech_map tags/vhdl_sparc_fpu_core tags/vhdl_sparc_fp tags/vhdl_sparc_fpulib tags/vhdl_tbench_debug vhdl/sparc/fp1eu.vhd
 
 
 
tags/vhdl_sparc_fpu_core: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_fpu_lth tags/vhdl_sparc_fpulib vhdl/sparc/fpu_core.vhd
 
 
 
tags/vhdl_sparc_fpu_lth: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 vhdl/sparc/fpu_lth.vhd
 
        $(VCOM) vhdl/sparc/fpu_lth.vhd
 
        @touch tags/vhdl_sparc_fpu_lth
 
tags/vhdl_sparc_fpulib: work tags  tags/vhdl_sparc_leon_iface vhdl/sparc/fpulib.vhd
 
        $(VCOM) vhdl/sparc/fpulib.vhd
 
        @touch tags/vhdl_sparc_fpulib
 
tags/vhdl_sparc_grfpc: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/sparc/grfpc.vhd
 
        $(VCOM) vhdl/sparc/grfpc.vhd
 
        @touch tags/vhdl_sparc_grfpc
 
tags/vhdl_sparc_icache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_leon_target vhdl/sparc/icache.vhd
 
        $(VCOM) vhdl/sparc/icache.vhd
 
        @touch tags/vhdl_sparc_icache
 
tags/vhdl_sparc_iu: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_tech_tech_map tags/vhdl_sparc_multlib tags/vhdl_sparc_div tags/vhdl_sparc_mul vhdl/sparc/iu.vhd
 
        $(VCOM) vhdl/sparc/iu.vhd
 
        @touch tags/vhdl_sparc_iu
 
tags/vhdl_sparc_lconf: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/sparc/lconf.vhd
 
        $(VCOM) vhdl/sparc/lconf.vhd
 
        @touch tags/vhdl_sparc_lconf
 
tags/vhdl_sparc_leon: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon.vhd
 
        $(VCOM) vhdl/sparc/leon.vhd
 
        @touch tags/vhdl_sparc_leon
 
tags/vhdl_sparc_leon_config: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_device vhdl/sparc/leon_config.vhd
 
        $(VCOM) vhdl/sparc/leon_config.vhd
 
        @touch tags/vhdl_sparc_leon_config
 
tags/vhdl_sparc_leon_device: work tags  tags/vhdl_sparc_leon_target vhdl/sparc/leon_device.vhd
 
        $(VCOM) vhdl/sparc/leon_device.vhd
 
        @touch tags/vhdl_sparc_leon_device
 
tags/vhdl_sparc_leon_eth: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_eth.vhd
 
        $(VCOM) vhdl/sparc/leon_eth.vhd
 
        @touch tags/vhdl_sparc_leon_eth
 
tags/vhdl_sparc_leon_eth_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_eth_pci.vhd
 
        $(VCOM) vhdl/sparc/leon_eth_pci.vhd
 
        @touch tags/vhdl_sparc_leon_eth_pci
 
tags/vhdl_sparc_leon_iface: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_sparcv8 vhdl/sparc/leon_iface.vhd
 
        $(VCOM) vhdl/sparc/leon_iface.vhd
 
        @touch tags/vhdl_sparc_leon_iface
 
tags/vhdl_sparc_leon_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_pci.vhd
 
        $(VCOM) vhdl/sparc/leon_pci.vhd
 
        @touch tags/vhdl_sparc_leon_pci
 
tags/vhdl_sparc_leon_target: work tags  vhdl/sparc/leon_target.vhd
 
        $(VCOM) vhdl/sparc/leon_target.vhd
 
        @touch tags/vhdl_sparc_leon_target
 
tags/vhdl_sparc_libs_sparcdecode: work tags  tags/vhdl_config vhdl/sparc/libs/sparcdecode.vhd
 
        $(VCOM) vhdl/sparc/libs/sparcdecode.vhd
 
        @touch tags/vhdl_sparc_libs_sparcdecode
 
tags/vhdl_sparc_macro: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/sparc/macro.vhd
 
        $(VCOM) vhdl/sparc/macro.vhd
 
        @touch tags/vhdl_sparc_macro
 
tags/vhdl_sparc_mcore: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_proc tags/vhdl_sparc_pci_arb tags/vhdl_bus_apbmst tags/vhdl_bus_ahbarb tags/vhdl_sparc_ahbstat tags/vhdl_sparc_lconf tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_irqctrl2 tags/vhdl_core_ctrl_timers tags/vhdl_sparc_pci tags/vhdl_sparc_dsu tags/vhdl_sparc_dcom tags/vhdl_sparc_ahbram tags/vhdl_peripherals_net_eth_oc tags/vhdl_sparc_ambacomp tags/vhdl_peripherals_io_ioport tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_wprot tags/vhdl_peripherals_mem_mctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_peripherals_serial_uart tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_tbench_debug tags/vhdl_core_ctrl_rstgen tags/vhdl_sparc_dsu_mem vhdl/sparc/mcore.vhd
 
        $(VCOM) vhdl/sparc/mcore.vhd
 
        @touch tags/vhdl_sparc_mcore
 
tags/vhdl_sparc_meiko: work tags  tags/vhdl_sparc_leon_iface vhdl/sparc/meiko.vhd
 
        $(VCOM) vhdl/sparc/meiko.vhd
 
        @touch tags/vhdl_sparc_meiko
 
tags/vhdl_sparc_mmu: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmutlb tags/vhdl_sparc_mmutw vhdl/sparc/mmu.vhd
 
        $(VCOM) vhdl/sparc/mmu.vhd
 
        @touch tags/vhdl_sparc_mmu
 
tags/vhdl_sparc_mmu_acache: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_macro vhdl/sparc/mmu_acache.vhd
 
        $(VCOM) vhdl/sparc/mmu_acache.vhd
 
        @touch tags/vhdl_sparc_mmu_acache
 
tags/vhdl_sparc_mmu_cache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_mmu_acache tags/vhdl_sparc_mmu_dcache tags/vhdl_sparc_mmu_icache tags/vhdl_sparc_mmu vhdl/sparc/mmu_cache.vhd
 
        $(VCOM) vhdl/sparc/mmu_cache.vhd
 
        @touch tags/vhdl_sparc_mmu_cache
 
tags/vhdl_sparc_mmu_dcache: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_sparc_mmuconfig vhdl/sparc/mmu_dcache.vhd
 
        $(VCOM) vhdl/sparc/mmu_dcache.vhd
 
        @touch tags/vhdl_sparc_mmu_dcache
 
tags/vhdl_sparc_mmu_icache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmuconfig vhdl/sparc/mmu_icache.vhd
 
        $(VCOM) vhdl/sparc/mmu_icache.vhd
 
        @touch tags/vhdl_sparc_mmu_icache
 
tags/vhdl_sparc_mmuconfig: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_device tags/vhdl_sparc_leon_config vhdl/sparc/mmuconfig.vhd
 
        $(VCOM) vhdl/sparc/mmuconfig.vhd
 
        @touch tags/vhdl_sparc_mmuconfig
 
tags/vhdl_sparc_mmulru: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_mmulrue vhdl/sparc/mmulru.vhd
 
        $(VCOM) vhdl/sparc/mmulru.vhd
 
        @touch tags/vhdl_sparc_mmulru
 
tags/vhdl_sparc_mmulrue: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_target vhdl/sparc/mmulrue.vhd
 
        $(VCOM) vhdl/sparc/mmulrue.vhd
 
        @touch tags/vhdl_sparc_mmulrue
 
tags/vhdl_sparc_mmutlb: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_tech_tech_map tags/vhdl_sparc_leon_config tags/vhdl_sparc_macro tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmutlbcam tags/vhdl_sparc_mmulru vhdl/sparc/mmutlb.vhd
 
        $(VCOM) vhdl/sparc/mmutlb.vhd
 
        @touch tags/vhdl_sparc_mmutlb
 
tags/vhdl_sparc_mmutlbcam: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_macro vhdl/sparc/mmutlbcam.vhd
 
        $(VCOM) vhdl/sparc/mmutlbcam.vhd
 
        @touch tags/vhdl_sparc_mmutlbcam
 
tags/vhdl_sparc_mmutw: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig vhdl/sparc/mmutw.vhd
 
        $(VCOM) vhdl/sparc/mmutw.vhd
 
        @touch tags/vhdl_sparc_mmutw
 
tags/vhdl_sparc_mul: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map vhdl/sparc/mul.vhd
 
        $(VCOM) vhdl/sparc/mul.vhd
 
        @touch tags/vhdl_sparc_mul
 
tags/vhdl_sparc_multlib: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/sparc/multlib.vhd
 
        $(VCOM) vhdl/sparc/multlib.vhd
 
        @touch tags/vhdl_sparc_multlib
 
tags/vhdl_sparc_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_pci_is tags/vhdl_sparc_pci_oc tags/vhdl_sparc_pci_gr tags/vhdl_sparc_ambacomp vhdl/sparc/pci.vhd
 
        $(VCOM) vhdl/sparc/pci.vhd
 
        @touch tags/vhdl_sparc_pci
 
tags/vhdl_sparc_pci_arb: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_arb.vhd
 
        $(VCOM) vhdl/sparc/pci_arb.vhd
 
        @touch tags/vhdl_sparc_pci_arb
 
tags/vhdl_sparc_pci_gr: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_macro tags/vhdl_sparc_leon_iface vhdl/sparc/pci_gr.vhd
 
        $(VCOM) vhdl/sparc/pci_gr.vhd
 
        @touch tags/vhdl_sparc_pci_gr
 
tags/vhdl_sparc_pci_is: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_is.vhd
 
        $(VCOM) vhdl/sparc/pci_is.vhd
 
        @touch tags/vhdl_sparc_pci_is
 
tags/vhdl_sparc_pci_oc: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/pci_oc.vhd
 
        $(VCOM) vhdl/sparc/pci_oc.vhd
 
        @touch tags/vhdl_sparc_pci_oc
 
tags/vhdl_sparc_pci_test: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_test.vhd
 
        $(VCOM) vhdl/sparc/pci_test.vhd
 
        @touch tags/vhdl_sparc_pci_test
 
tags/vhdl_sparc_proc: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_fpu_core tags/vhdl_sparc_fp1eu tags/vhdl_sparc_grfpc tags/vhdl_sparc_fpulib tags/vhdl_tech_tech_map tags/vhdl_sparc_iu tags/vhdl_sparc_cache tags/vhdl_sparc_mmu_cache tags/vhdl_sparc_cachemem vhdl/sparc/proc.vhd
 
        $(VCOM) vhdl/sparc/proc.vhd
 
        @touch tags/vhdl_sparc_proc
 
tags/vhdl_sparc_sparcv8: work tags  tags/vhdl_sparc_leon_config vhdl/sparc/sparcv8.vhd
 
        $(VCOM) vhdl/sparc/sparcv8.vhd
 
        @touch tags/vhdl_sparc_sparcv8
 
tags/vhdl_tbench_debug: work tags  vhdl/tbench/debug.vhd
 
        $(VCOM) vhdl/tbench/debug.vhd
 
        @touch tags/vhdl_tbench_debug
 
tags/vhdl_tbench_dep_tbgen: work tags  tags/vhdl_config tags/vhdl_tbench_debug tags/vhdl_tbench_mem_iram tags/vhdl_tbench_testmod tags/vhdl_tbench_mem_mt48lc16m16a2 vhdl/tbench/dep_tbgen.vhd
 
        $(VCOM) vhdl/tbench/dep_tbgen.vhd
 
        @touch tags/vhdl_tbench_dep_tbgen
 
tags/vhdl_tbench_mem_iram: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/tbench/mem/iram.vhd
 
        $(VCOM) vhdl/tbench/mem/iram.vhd
 
        @touch tags/vhdl_tbench_mem_iram
 
tags/vhdl_tbench_mem_mt48lc16m16a2: work tags  tags/vhdl_sparc_macro vhdl/tbench/mem/mt48lc16m16a2.vhd
 
        $(VCOM) vhdl/tbench/mem/mt48lc16m16a2.vhd
 
        @touch tags/vhdl_tbench_mem_mt48lc16m16a2
 
tags/vhdl_tbench_mem_tbenchmem_comp: work tags  vhdl/tbench/mem/tbenchmem_comp.vhd
 
        $(VCOM) vhdl/tbench/mem/tbenchmem_comp.vhd
 
        @touch tags/vhdl_tbench_mem_tbenchmem_comp
 
tags/vhdl_tbench_tbench: work tags  tags/vhdl_tbench_tbench_config vhdl/tbench/tbench.vhd
 
        $(VCOM) vhdl/tbench/tbench.vhd
 
        @touch tags/vhdl_tbench_tbench
 
tags/vhdl_tbench_tbench_comp: work tags  vhdl/tbench/tbench_comp.vhd
 
        $(VCOM) vhdl/tbench/tbench_comp.vhd
 
        @touch tags/vhdl_tbench_tbench_comp
 
tags/vhdl_tbench_tbench_config: work tags  tags/vhdl_tbench_tbench_gen tags/vhdl_tbench_tbench_comp vhdl/tbench/tbench_config.vhd
 
        $(VCOM) vhdl/tbench/tbench_config.vhd
 
        @touch tags/vhdl_tbench_tbench_config
 
tags/vhdl_tbench_tbench_gen: work tags  tags/vhdl_sparc_leon_config tags/vhdl_tbench_debug tags/vhdl_core_core tags/vhdl_core_core_comp tags/vhdl_tbench_tbench_comp tags/vhdl_tbench_mem_mt48lc16m16a2 tags/vhdl_tbench_mem_iram tags/vhdl_tbench_mem_tbenchmem_comp vhdl/tbench/tbench_gen.vhd
 
        $(VCOM) vhdl/tbench/tbench_gen.vhd
 
        @touch tags/vhdl_tbench_tbench_gen
 
tags/vhdl_tbench_testmod: work tags  vhdl/tbench/testmod.vhd
 
        $(VCOM) vhdl/tbench/testmod.vhd
 
        @touch tags/vhdl_tbench_testmod
 
tags/vhdl_tech_tech_atc18: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc18.vhd
 
        $(VCOM) vhdl/tech/tech_atc18.vhd
 
        @touch tags/vhdl_tech_tech_atc18
 
tags/vhdl_tech_tech_atc25: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc25.vhd
 
        $(VCOM) vhdl/tech/tech_atc25.vhd
 
        @touch tags/vhdl_tech_tech_atc25
 
tags/vhdl_tech_tech_atc35: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc35.vhd
 
        $(VCOM) vhdl/tech/tech_atc35.vhd
 
        @touch tags/vhdl_tech_tech_atc35
 
tags/vhdl_tech_tech_axcel: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_axcel.vhd
 
        $(VCOM) vhdl/tech/tech_axcel.vhd
 
        @touch tags/vhdl_tech_tech_axcel
 
tags/vhdl_tech_tech_fs90: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_fs90.vhd
 
        $(VCOM) vhdl/tech/tech_fs90.vhd
 
        @touch tags/vhdl_tech_tech_fs90
 
tags/vhdl_tech_tech_generic: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_generic.vhd
 
        $(VCOM) vhdl/tech/tech_generic.vhd
 
        @touch tags/vhdl_tech_tech_generic
 
tags/vhdl_tech_tech_map: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_bprom tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_multlib tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 vhdl/tech/tech_map.vhd
 
        $(VCOM) vhdl/tech/tech_map.vhd
 
        @touch tags/vhdl_tech_tech_map
 
tags/vhdl_tech_tech_proasic: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_proasic.vhd
 
        $(VCOM) vhdl/tech/tech_proasic.vhd
 
        @touch tags/vhdl_tech_tech_proasic
 
tags/vhdl_tech_tech_tsmc25: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_tsmc25.vhd
 
        $(VCOM) vhdl/tech/tech_tsmc25.vhd
 
        @touch tags/vhdl_tech_tech_tsmc25
 
tags/vhdl_tech_tech_umc18: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_umc18.vhd
 
        $(VCOM) vhdl/tech/tech_umc18.vhd
 
        @touch tags/vhdl_tech_tech_umc18
 
tags/vhdl_tech_tech_virtex: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_virtex.vhd
 
        $(VCOM) vhdl/tech/tech_virtex.vhd
 
        @touch tags/vhdl_tech_tech_virtex
 
tags/vhdl_tech_tech_virtex2: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_virtex2.vhd
 
        $(VCOM) vhdl/tech/tech_virtex2.vhd
 
        @touch tags/vhdl_tech_tech_virtex2
 
acache: tags/vhdl_sparc_acache
 
ahbarb: tags/vhdl_bus_ahbarb
 
ahbmst: tags/vhdl_sparc_ahbmst
 
ahbmst_mp: tags/vhdl_bus_ahbmst_mp
 
ahbram: tags/vhdl_sparc_ahbram
 
ahbstat: tags/vhdl_sparc_ahbstat
 
ahbtest: tags/vhdl_sparc_ahbtest
 
amba: tags/vhdl_bus_amba
 
ambacomp: tags/vhdl_sparc_ambacomp
 
apbmst: tags/vhdl_bus_apbmst
 
arith_cnt8: tags/vhdl_arith_cnt_arith_cnt8
 
arith_cnt_comp: tags/vhdl_arith_cnt_arith_cnt_comp
 
arm_comp: tags/vhdl_arm_arm_comp
 
arm_proc: tags/vhdl_arm_arm_proc
 
armcache: tags/vhdl_arm_armcache
 
armcmd: tags/vhdl_arm_libs_armcmd
 
armcmd_al: tags/vhdl_arm_armcmd_al
 
armcmd_bl: tags/vhdl_arm_armcmd_bl
 
armcmd_cl: tags/vhdl_arm_armcmd_cl
 
armcmd_comp: tags/vhdl_arm_armcmd_comp
 
armcmd_cr: tags/vhdl_arm_armcmd_cr
 
armcmd_cs: tags/vhdl_arm_armcmd_cs
 
armcmd_iface: tags/vhdl_arm_libs_armcmd_iface
 
armcmd_ld: tags/vhdl_arm_armcmd_ld
 
armcmd_lm: tags/vhdl_arm_armcmd_lm
 
armcmd_sm: tags/vhdl_arm_armcmd_sm
 
armcmd_sr: tags/vhdl_arm_armcmd_sr
 
armcmd_st: tags/vhdl_arm_armcmd_st
 
armcmd_sw: tags/vhdl_arm_armcmd_sw
 
armcoproc: tags/vhdl_arm_cp_libs_armcoproc
 
armcp_comp: tags/vhdl_arm_cp_armcp_comp
 
armcp_sctrl: tags/vhdl_arm_cp_armcp_sctrl
 
armctrl: tags/vhdl_arm_libs_armctrl
 
armdebug: tags/vhdl_arm_libs_armdebug
 
armdecode: tags/vhdl_arm_libs_armdecode
 
armiu: tags/vhdl_arm_armiu
 
armiu_destg: tags/vhdl_arm_armiu_destg
 
armiu_dmstg: tags/vhdl_arm_armiu_dmstg
 
armiu_drstg: tags/vhdl_arm_armiu_drstg
 
armiu_exstg: tags/vhdl_arm_armiu_exstg
 
armiu_festg: tags/vhdl_arm_armiu_festg
 
armiu_iface: tags/vhdl_arm_libs_armiu_iface
 
armiu_imstg: tags/vhdl_arm_armiu_imstg
 
armiu_mestg: tags/vhdl_arm_armiu_mestg
 
armiu_rrstg: tags/vhdl_arm_armiu_rrstg
 
armiu_rsstg: tags/vhdl_arm_armiu_rsstg
 
armiu_wrstg: tags/vhdl_arm_armiu_wrstg
 
armldst: tags/vhdl_arm_libs_armldst
 
armpctrl: tags/vhdl_arm_libs_armpctrl
 
armpmodel: tags/vhdl_arm_libs_armpmodel
 
armsctrl: tags/vhdl_arm_cp_libs_armsctrl
 
armshiefter: tags/vhdl_arm_libs_armshiefter
 
bprom: tags/vhdl_sparc_bprom
 
bus_comp: tags/vhdl_bus_bus_comp
 
cache: tags/vhdl_sparc_cache
 
cache_comp: tags/vhdl_mem_cache_cache_comp
 
cache_config: tags/vhdl_mem_cache_cache_config
 
cachemem: tags/vhdl_sparc_cachemem
 
config: tags/vhdl_config
 
core: tags/vhdl_core_core
 
core_comp: tags/vhdl_core_core_comp
 
core_config: tags/vhdl_core_core_config
 
corelib: tags/vhdl_core_libs_corelib
 
ctrl_comp: tags/vhdl_core_ctrl_ctrl_comp
 
ctrl_config: tags/vhdl_core_ctrl_ctrl_config
 
dcache: tags/vhdl_sparc_dcache
 
dcom: tags/vhdl_sparc_dcom
 
dcom_uart: tags/vhdl_sparc_dcom_uart
 
debug: tags/vhdl_tbench_debug
 
dep_tbgen: tags/vhdl_tbench_dep_tbgen
 
div: tags/vhdl_sparc_div
 
dma: tags/vhdl_sparc_dma
 
dsu: tags/vhdl_sparc_dsu
 
dsu_mem: tags/vhdl_sparc_dsu_mem
 
eth_oc: tags/vhdl_peripherals_net_eth_oc
 
fp: tags/vhdl_sparc_fp
 
fp1eu: tags/vhdl_sparc_fp1eu
 
fpu_core: tags/vhdl_sparc_fpu_core
 
fpu_lth: tags/vhdl_sparc_fpu_lth
 
fpulib: tags/vhdl_sparc_fpulib
 
gencmem: tags/vhdl_mem_cache_gencmem
 
gencmem_lib: tags/vhdl_mem_cache_libs_gencmem_lib
 
gendc: tags/vhdl_mem_cache_gendc
 
gendc_lib: tags/vhdl_mem_cache_libs_gendc_lib
 
genic: tags/vhdl_mem_cache_genic
 
genic_lib: tags/vhdl_mem_cache_libs_genic_lib
 
genwb: tags/vhdl_mem_cache_genwb
 
genwb_lib: tags/vhdl_mem_cache_libs_genwb_lib
 
genwbfifo: tags/vhdl_mem_cache_genwbfifo
 
grfpc: tags/vhdl_sparc_grfpc
 
icache: tags/vhdl_sparc_icache
 
int: tags/vhdl_libs_int
 
ioport: tags/vhdl_peripherals_io_ioport
 
iram: tags/vhdl_tbench_mem_iram
 
irqctrl: tags/vhdl_core_ctrl_irqctrl
 
irqctrl2: tags/vhdl_core_ctrl_irqctrl2
 
iu: tags/vhdl_sparc_iu
 
kecs_libicache: tags/vhdl_mem_cache_libs_kecs_libicache
 
kecs_wb: tags/vhdl_mem_cache_kecs_wb
 
kecs_wbfifo: tags/vhdl_mem_cache_kecs_wbfifo
 
lconf: tags/vhdl_sparc_lconf
 
leon: tags/vhdl_sparc_leon
 
leon_config: tags/vhdl_sparc_leon_config
 
leon_device: tags/vhdl_sparc_leon_device
 
leon_eth: tags/vhdl_sparc_leon_eth
 
leon_eth_pci: tags/vhdl_sparc_leon_eth_pci
 
leon_iface: tags/vhdl_sparc_leon_iface
 
leon_pci: tags/vhdl_sparc_leon_pci
 
leon_target: tags/vhdl_sparc_leon_target
 
log: tags/vhdl_libs_log
 
macro: tags/vhdl_sparc_macro
 
mcore: tags/vhdl_sparc_mcore
 
mctrl: tags/vhdl_peripherals_mem_mctrl
 
meiko: tags/vhdl_sparc_meiko
 
memdef: tags/vhdl_libs_memdef
 
mmu: tags/vhdl_sparc_mmu
 
mmu_acache: tags/vhdl_sparc_mmu_acache
 
mmu_cache: tags/vhdl_sparc_mmu_cache
 
mmu_dcache: tags/vhdl_sparc_mmu_dcache
 
mmu_icache: tags/vhdl_sparc_mmu_icache
 
mmuconfig: tags/vhdl_sparc_mmuconfig
 
mmulru: tags/vhdl_sparc_mmulru
 
mmulrue: tags/vhdl_sparc_mmulrue
 
mmutlb: tags/vhdl_sparc_mmutlb
 
mmutlbcam: tags/vhdl_sparc_mmutlbcam
 
mmutw: tags/vhdl_sparc_mmutw
 
mt48lc16m16a2: tags/vhdl_tbench_mem_mt48lc16m16a2
 
mul: tags/vhdl_sparc_mul
 
multlib: tags/vhdl_sparc_multlib
 
pci: tags/vhdl_sparc_pci
 
pci_arb: tags/vhdl_sparc_pci_arb
 
pci_gr: tags/vhdl_sparc_pci_gr
 
pci_is: tags/vhdl_sparc_pci_is
 
pci_oc: tags/vhdl_sparc_pci_oc
 
pci_test: tags/vhdl_sparc_pci_test
 
peri_io_comp: tags/vhdl_peripherals_io_peri_io_comp
 
peri_mem_comp: tags/vhdl_peripherals_mem_peri_mem_comp
 
peri_mem_config: tags/vhdl_peripherals_mem_peri_mem_config
 
peri_serial_comp: tags/vhdl_peripherals_serial_peri_serial_comp
 
proc: tags/vhdl_sparc_proc
 
rstgen: tags/vhdl_core_ctrl_rstgen
 
sdmctrl: tags/vhdl_peripherals_mem_sdmctrl
 
setrepl: tags/vhdl_mem_cache_setrepl
 
setrepl_lib: tags/vhdl_mem_cache_libs_setrepl_lib
 
soc_gen: tags/vhdl_core_soc_gen
 
sparcdecode: tags/vhdl_sparc_libs_sparcdecode
 
sparcv8: tags/vhdl_sparc_sparcv8
 
tbench: tags/vhdl_tbench_tbench
 
tbench_armcache: tags/vhdl_arm_tbench_armcache
 
tbench_comp: tags/vhdl_tbench_tbench_comp
 
tbench_config: tags/vhdl_tbench_tbench_config
 
tbench_gen: tags/vhdl_tbench_tbench_gen
 
tbenchmem_comp: tags/vhdl_tbench_mem_tbenchmem_comp
 
tech_atc18: tags/vhdl_tech_tech_atc18
 
tech_atc25: tags/vhdl_tech_tech_atc25
 
tech_atc35: tags/vhdl_tech_tech_atc35
 
tech_axcel: tags/vhdl_tech_tech_axcel
 
tech_fs90: tags/vhdl_tech_tech_fs90
 
tech_generic: tags/vhdl_tech_tech_generic
 
tech_map: tags/vhdl_tech_tech_map
 
tech_proasic: tags/vhdl_tech_tech_proasic
 
tech_tsmc25: tags/vhdl_tech_tech_tsmc25
 
tech_umc18: tags/vhdl_tech_tech_umc18
 
tech_virtex: tags/vhdl_tech_tech_virtex
 
tech_virtex2: tags/vhdl_tech_tech_virtex2
 
testmod: tags/vhdl_tbench_testmod
 
timers: tags/vhdl_core_ctrl_timers
 
uart: tags/vhdl_peripherals_serial_uart
 
wprot: tags/vhdl_peripherals_mem_wprot
 
tags :
 
        @mkdir tags
 
work :
 
        $(if $(shell @ls work), ,$(VLIB) work)
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.