OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [mux_2_1.vhdl] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
 
library ieee;
 
use ieee.std_logic_1164.all;
 
 
 No newline at end of file
 No newline at end of file
 
entity mux_2_1 is port(
 
        a:      in std_logic_vector(15 downto 0);
 
        b:      in std_logic_vector(15 downto 0);
 
        sel:    in std_logic;
 
        o:      out std_logic_vector(15 downto 0)
 
);
 
end mux_2_1;
 
 
 
architecture mux_arch of mux_2_1 is
 
begin
 
        process(sel, a, b)
 
        begin
 
                if sel = '0' then
 
                        o <= a;
 
                else
 
                        o <= b;
 
                end if;
 
        end process;
 
end mux_arch;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.