OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [fsm_execution_unit.vhd] - Diff between revs 18 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 24
Line 1... Line 1...
-- VHDL Entity R6502_TC.FSM_Execution_Unit.symbol
-- VHDL Entity R6502_TC.FSM_Execution_Unit.symbol
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
--          by - eda.UNKNOWN (ENTW1)
--          at - 11:47:40 23.02.2009
--          at - 15:57:20 20.02.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
entity FSM_Execution_Unit is
ENTITY FSM_Execution_Unit IS
   port(
   PORT(
      adr_nxt_pc_i : in     std_logic_vector (15 downto 0);
      adr_nxt_pc_i : IN     std_logic_vector (15 DOWNTO 0);
      adr_pc_i     : in     std_logic_vector (15 downto 0);
      adr_pc_i     : IN     std_logic_vector (15 DOWNTO 0);
      adr_sp_i     : in     std_logic_vector (15 downto 0);
      adr_sp_i     : IN     std_logic_vector (15 DOWNTO 0);
      clk_clk_i    : in     std_logic;
      clk_clk_i    : IN     std_logic;
      d_alu_i      : in     std_logic_vector ( 7 downto 0 );
      d_alu_i      : IN     std_logic_vector ( 7 DOWNTO 0 );
      d_i          : in     std_logic_vector ( 7 downto 0 );
      d_i          : IN     std_logic_vector ( 7 DOWNTO 0 );
      d_regs_out_i : in     std_logic_vector ( 7 downto 0 );
      d_regs_out_i : IN     std_logic_vector ( 7 DOWNTO 0 );
      irq_n_i      : in     std_logic;
      irq_n_i      : IN     std_logic;
      nmi_i        : in     std_logic;
      nmi_i        : IN     std_logic;
      q_a_i        : in     std_logic_vector ( 7 downto 0 );
      q_a_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      q_x_i        : in     std_logic_vector ( 7 downto 0 );
      q_x_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      q_y_i        : in     std_logic_vector ( 7 downto 0 );
      q_y_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      rdy_i        : in     std_logic;
      rdy_i        : IN     std_logic;
      reg_0flag_i  : in     std_logic;
      reg_0flag_i  : IN     std_logic;
      reg_1flag_i  : in     std_logic;
      reg_1flag_i  : IN     std_logic;
      reg_7flag_i  : in     std_logic;
      reg_7flag_i  : IN     std_logic;
      rst_rst_n_i  : in     std_logic;
      rst_rst_n_i  : IN     std_logic;
      so_n_i       : in     std_logic;
      so_n_i       : IN     std_logic;
      a_o          : out    std_logic_vector (15 downto 0);
      a_o          : OUT    std_logic_vector (15 DOWNTO 0);
      adr_o        : out    std_logic_vector (15 downto 0);
      adr_o        : OUT    std_logic_vector (15 DOWNTO 0);
      ch_a_o       : out    std_logic_vector ( 7 downto 0 );
      ch_a_o       : OUT    std_logic_vector ( 7 DOWNTO 0 );
      ch_b_o       : out    std_logic_vector ( 7 downto 0 );
      ch_b_o       : OUT    std_logic_vector ( 7 DOWNTO 0 );
      d_o          : out    std_logic_vector ( 7 downto 0 );
      d_o          : OUT    std_logic_vector ( 7 DOWNTO 0 );
      d_regs_in_o  : out    std_logic_vector ( 7 downto 0 );
      d_regs_in_o  : OUT    std_logic_vector ( 7 DOWNTO 0 );
      fetch_o      : out    std_logic;
      ld_o         : OUT    std_logic_vector ( 1 DOWNTO 0 );
      ld_o         : out    std_logic_vector ( 1 downto 0 );
      ld_pc_o      : OUT    std_logic;
      ld_pc_o      : out    std_logic;
      ld_sp_o      : OUT    std_logic;
      ld_sp_o      : out    std_logic;
      load_regs_o  : OUT    std_logic;
      load_regs_o  : out    std_logic;
      offset_o     : OUT    std_logic_vector ( 15 DOWNTO 0 );
      offset_o     : out    std_logic_vector ( 15 downto 0 );
      rd_o         : OUT    std_logic;
      rd_o         : out    std_logic;
      rst_nmi_o    : OUT    std_logic;
      sel_pc_in_o  : out    std_logic;
      sel_pc_in_o  : OUT    std_logic;
      sel_pc_val_o : out    std_logic_vector ( 1 downto 0 );
      sel_pc_val_o : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_rb_in_o  : out    std_logic_vector ( 1 downto 0 );
      sel_rb_in_o  : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_rb_out_o : out    std_logic_vector ( 1 downto 0 );
      sel_rb_out_o : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_reg_o    : out    std_logic_vector ( 1 downto 0 );
      sel_reg_o    : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_sp_as_o  : out    std_logic;
      sel_sp_as_o  : OUT    std_logic;
      sel_sp_in_o  : out    std_logic;
      sel_sp_in_o  : OUT    std_logic;
      sync_o       : out    std_logic;
      sync_o       : OUT    std_logic;
      wr_o         : out    std_logic
      wr_n_o       : OUT    std_logic;
 
      wr_o         : OUT    std_logic
   );
   );
 
 
-- Declarations
-- Declarations
 
 
end FSM_Execution_Unit ;
END FSM_Execution_Unit ;
 
 
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- Jens-D. Gutschmidt     Project:  R6502_TC  
 
 
-- scantara2003@yahoo.de                      
-- scantara2003@yahoo.de                      
 
 
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                
-- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG                                                                                
 
 
--                                                                                                                                             
--                                                                                                                                             
 
 
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
 
 
Line 84... Line 85...
 
 
-- CVS Revisins History                                                                                                                        
-- CVS Revisins History                                                                                                                        
 
 
--                                                                                                                                             
--                                                                                                                                             
 
 
-- $Log: not supported by cvs2svn $                                                                                                                            
-- $Log: fsm.sm,v $                                                                                                                            
 
 
--   <<-- more -->>                                                                                                                            
--   <<-- more -->>                                                                                                                            
 
 
-- Title:  FSM Execution Unit for all op codes  
-- Title:  FSM Execution Unit for all op codes  
 
 
-- Path:  R6502_TC/FSM_Execution_Unit/fsm  
-- Path:  R6502_TC/FSM_Execution_Unit/fsm  
 
 
-- Edited:  by eda on 23 Feb 2009  
-- Edited:  by eda on 20 Feb 2010  
 
 
--
--
-- VHDL Architecture R6502_TC.FSM_Execution_Unit.fsm
-- VHDL Architecture R6502_TC.FSM_Execution_Unit.fsm
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
--          by - eda.UNKNOWN (ENTW1)
--          at - 11:47:41 23.02.2009
--          at - 15:57:21 20.02.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
library ieee;
LIBRARY ieee;
use ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.all;
use ieee.std_logic_arith.ALL;
USE ieee.std_logic_arith.all;
 
 
architecture fsm of FSM_Execution_Unit is
ARCHITECTURE fsm OF FSM_Execution_Unit IS
 
 
   -- Architecture Declarations
   -- Architecture Declarations
   signal reg_F : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL reg_F : std_logic_vector( 7 DOWNTO 0 );
   signal reg_sel_pc_in : std_logic;
   SIGNAL reg_sel_pc_in : std_logic;
   signal reg_sel_pc_val : std_logic_vector( 1 DOWNTO 0 );
   SIGNAL reg_sel_pc_val : std_logic_vector( 1 DOWNTO 0 );
   signal reg_sel_rb_in : std_logic_vector( 1 DOWNTO 0 );
   SIGNAL reg_sel_rb_in : std_logic_vector( 1 DOWNTO 0 );
   signal reg_sel_rb_out : std_logic_vector( 1 DOWNTO 0 );
   SIGNAL reg_sel_rb_out : std_logic_vector( 1 DOWNTO 0 );
   signal reg_sel_reg : std_logic_vector( 1 DOWNTO 0 );
   SIGNAL reg_sel_reg : std_logic_vector( 1 DOWNTO 0 );
   signal reg_sel_sp_as : std_logic;
   SIGNAL reg_sel_sp_as : std_logic;
   signal reg_sel_sp_in : std_logic;
   SIGNAL reg_sel_sp_in : std_logic;
   signal sig_D_OUT : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL sig_D_OUT : std_logic_vector( 7 DOWNTO 0 );
   signal sig_PC : std_logic_vector(15 DOWNTO 0);
   SIGNAL sig_PC : std_logic_vector(15 DOWNTO 0);
   signal sig_SYNC : std_logic;
   SIGNAL sig_RD : std_logic;
   signal sig_WR : std_logic;
   SIGNAL sig_RWn : std_logic;
   signal zw_ALU : std_logic_vector( 8 DOWNTO 0 );
   SIGNAL sig_SYNC : std_logic;
   signal zw_ALU1 : std_logic_vector( 4 DOWNTO 0 );
   SIGNAL sig_WR : std_logic;
   signal zw_ALU2 : std_logic_vector( 4 DOWNTO 0 );
   SIGNAL zw_ALU : std_logic_vector( 8 DOWNTO 0 );
   signal zw_ALU3 : std_logic_vector( 4 DOWNTO 0 );
   SIGNAL zw_ALU1 : std_logic_vector( 4 DOWNTO 0 );
   signal zw_ALU4 : std_logic_vector( 4 DOWNTO 0 );
   SIGNAL zw_ALU2 : std_logic_vector( 4 DOWNTO 0 );
   signal zw_ALU5 : std_logic_vector( 3 DOWNTO 0 );
   SIGNAL zw_ALU3 : std_logic_vector( 4 DOWNTO 0 );
   signal zw_ALU6 : std_logic_vector( 3 DOWNTO 0 );
   SIGNAL zw_ALU4 : std_logic_vector( 4 DOWNTO 0 );
   signal zw_REG_NMI : std_logic;
   SIGNAL zw_ALU5 : std_logic_vector( 3 DOWNTO 0 );
   signal zw_REG_OP : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL zw_ALU6 : std_logic_vector( 3 DOWNTO 0 );
   signal zw_b1 : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL zw_REG_OP : std_logic_vector( 7 DOWNTO 0 );
   signal zw_b2 : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL zw_b1 : std_logic_vector( 7 DOWNTO 0 );
   signal zw_b3 : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL zw_b2 : std_logic_vector( 7 DOWNTO 0 );
   signal zw_b4 : std_logic_vector( 7 DOWNTO 0 );
   SIGNAL zw_b3 : std_logic_vector( 7 DOWNTO 0 );
   signal zw_so : std_logic;
   SIGNAL zw_b4 : std_logic_vector( 7 DOWNTO 0 );
 
   SIGNAL zw_so : std_logic;
 
 
   subtype state_type is
   SUBTYPE STATE_TYPE IS
      std_logic_vector(7 downto 0);
      std_logic_vector(7 DOWNTO 0);
 
 
   -- Hard encoding
   -- Hard encoding
   constant FETCH : state_type := "00000000";
   CONSTANT FETCH : STATE_TYPE := "00000000";
   constant G10_1 : state_type := "00000001";
   CONSTANT s1 : STATE_TYPE := "00000001";
   constant G10_2 : state_type := "00000010";
   CONSTANT s2 : STATE_TYPE := "00000011";
   constant G10_3 : state_type := "00000011";
   CONSTANT s5 : STATE_TYPE := "00000010";
   constant G10_4 : state_type := "00000100";
   CONSTANT s3 : STATE_TYPE := "00000110";
   constant G10_5 : state_type := "00000101";
   CONSTANT s4 : STATE_TYPE := "00000111";
   constant G10_6 : state_type := "00000110";
   CONSTANT s12 : STATE_TYPE := "00000101";
   constant G10_7 : state_type := "00000111";
   CONSTANT s16 : STATE_TYPE := "00000100";
   constant G10_e1 : state_type := "00001000";
   CONSTANT s17 : STATE_TYPE := "00001100";
   constant G10_e2 : state_type := "00001001";
   CONSTANT s24 : STATE_TYPE := "00001101";
   constant G10_e3 : state_type := "00001010";
   CONSTANT s25 : STATE_TYPE := "00001111";
   constant G11_1 : state_type := "00001011";
   CONSTANT s271 : STATE_TYPE := "00001110";
   constant G11_2 : state_type := "00001100";
   CONSTANT s273 : STATE_TYPE := "00001010";
   constant G11_3 : state_type := "00001101";
   CONSTANT s304 : STATE_TYPE := "00001011";
   constant G11_4 : state_type := "00001110";
   CONSTANT s307 : STATE_TYPE := "00001001";
   constant G11_5 : state_type := "00001111";
   CONSTANT s177 : STATE_TYPE := "00001000";
   constant G11_6 : state_type := "00010000";
   CONSTANT s180 : STATE_TYPE := "00011000";
   constant G11_7 : state_type := "00010001";
   CONSTANT s181 : STATE_TYPE := "00011001";
   constant G11_e : state_type := "00010010";
   CONSTANT s182 : STATE_TYPE := "00011011";
   constant G12_1 : state_type := "00010011";
   CONSTANT s183 : STATE_TYPE := "00011010";
   constant G12_e1 : state_type := "00010100";
   CONSTANT s184 : STATE_TYPE := "00011110";
   constant G12_e2 : state_type := "00010101";
   CONSTANT s185 : STATE_TYPE := "00011111";
   constant G13_1 : state_type := "00010110";
   CONSTANT s186 : STATE_TYPE := "00011101";
   constant G13_2 : state_type := "00010111";
   CONSTANT s187 : STATE_TYPE := "00011100";
   constant G13_e : state_type := "00011000";
   CONSTANT s188 : STATE_TYPE := "00010100";
   constant G14_1 : state_type := "00011001";
   CONSTANT s189 : STATE_TYPE := "00010101";
   constant G14_2 : state_type := "00011010";
   CONSTANT s190 : STATE_TYPE := "00010111";
   constant G14_3 : state_type := "00011011";
   CONSTANT s191 : STATE_TYPE := "00010110";
   constant G14_4 : state_type := "00011100";
   CONSTANT s192 : STATE_TYPE := "00010010";
   constant G14_5 : state_type := "00011101";
   CONSTANT s193 : STATE_TYPE := "00010011";
   constant G14_6 : state_type := "00011110";
   CONSTANT s377 : STATE_TYPE := "00010001";
   constant G14_7 : state_type := "00011111";
   CONSTANT s381 : STATE_TYPE := "00010000";
   constant G14_e : state_type := "00100000";
   CONSTANT s378 : STATE_TYPE := "00110000";
   constant G15_1 : state_type := "00100001";
   CONSTANT s382 : STATE_TYPE := "00110001";
   constant G15_2 : state_type := "00100010";
   CONSTANT s379 : STATE_TYPE := "00110011";
   constant G15_3 : state_type := "00100011";
   CONSTANT s383 : STATE_TYPE := "00110010";
   constant G15_4 : state_type := "00100100";
   CONSTANT s384 : STATE_TYPE := "00110110";
   constant G15_5 : state_type := "00100101";
   CONSTANT s380 : STATE_TYPE := "00110111";
   constant G15_6 : state_type := "00100110";
   CONSTANT s385 : STATE_TYPE := "00110101";
   constant G15_7 : state_type := "00100111";
   CONSTANT s386 : STATE_TYPE := "00110100";
   constant G15_e1 : state_type := "00101000";
   CONSTANT s387 : STATE_TYPE := "00111100";
   constant G15_e2 : state_type := "00101001";
   CONSTANT s388 : STATE_TYPE := "00111101";
   constant G15_e3 : state_type := "00101010";
   CONSTANT s389 : STATE_TYPE := "00111111";
   constant G16_1 : state_type := "00101011";
   CONSTANT s391 : STATE_TYPE := "00111110";
   constant G16_2 : state_type := "00101100";
   CONSTANT s392 : STATE_TYPE := "00111010";
   constant G16_3 : state_type := "00101101";
   CONSTANT s390 : STATE_TYPE := "00111011";
   constant G16_4 : state_type := "00101110";
   CONSTANT s393 : STATE_TYPE := "00111001";
   constant G16_5 : state_type := "00101111";
   CONSTANT s394 : STATE_TYPE := "00111000";
   constant G16_6 : state_type := "00110000";
   CONSTANT s395 : STATE_TYPE := "00101000";
   constant G16_7 : state_type := "00110001";
   CONSTANT s396 : STATE_TYPE := "00101001";
   constant G16_e1 : state_type := "00110010";
   CONSTANT s397 : STATE_TYPE := "00101011";
   constant G16_e2 : state_type := "00110011";
   CONSTANT s398 : STATE_TYPE := "00101010";
   constant G16_e3 : state_type := "00110100";
   CONSTANT s399 : STATE_TYPE := "00101110";
   constant G17_1 : state_type := "00110101";
   CONSTANT s400 : STATE_TYPE := "00101111";
   constant G17_10 : state_type := "00110110";
   CONSTANT s401 : STATE_TYPE := "00101101";
   constant G17_2 : state_type := "00110111";
   CONSTANT s526 : STATE_TYPE := "00101100";
   constant G17_3 : state_type := "00111000";
   CONSTANT s527 : STATE_TYPE := "00100100";
   constant G17_4 : state_type := "00111001";
   CONSTANT s528 : STATE_TYPE := "00100101";
   constant G17_5 : state_type := "00111010";
   CONSTANT s529 : STATE_TYPE := "00100111";
   constant G17_6 : state_type := "00111011";
   CONSTANT s530 : STATE_TYPE := "00100110";
   constant G17_7 : state_type := "00111100";
   CONSTANT s531 : STATE_TYPE := "00100010";
   constant G17_8 : state_type := "00111101";
   CONSTANT s544 : STATE_TYPE := "00100011";
   constant G17_9 : state_type := "00111110";
   CONSTANT s545 : STATE_TYPE := "00100001";
   constant G17_e : state_type := "00111111";
   CONSTANT s546 : STATE_TYPE := "00100000";
   constant G18_1 : state_type := "01000000";
   CONSTANT s547 : STATE_TYPE := "01100000";
   constant G18_2 : state_type := "01000001";
   CONSTANT s549 : STATE_TYPE := "01100001";
   constant G18_3 : state_type := "01000010";
   CONSTANT s550 : STATE_TYPE := "01100011";
   constant G18_4 : state_type := "01000011";
   CONSTANT s404 : STATE_TYPE := "01100010";
   constant G18_5 : state_type := "01000100";
   CONSTANT s556 : STATE_TYPE := "01100110";
   constant G18_e : state_type := "01000101";
   CONSTANT s557 : STATE_TYPE := "01100111";
   constant G19_1 : state_type := "01000110";
   CONSTANT s579 : STATE_TYPE := "01100101";
   constant G1_1 : state_type := "01000111";
   CONSTANT s201 : STATE_TYPE := "01100100";
   constant G20_1 : state_type := "01001000";
   CONSTANT s202 : STATE_TYPE := "01101100";
   constant G20_2 : state_type := "01001001";
   CONSTANT s210 : STATE_TYPE := "01101101";
   constant G20_3 : state_type := "01001010";
   CONSTANT s211 : STATE_TYPE := "01101111";
   constant G20_e : state_type := "01001011";
   CONSTANT s215 : STATE_TYPE := "01101110";
   constant G21_1 : state_type := "01001100";
   CONSTANT s217 : STATE_TYPE := "01101010";
   constant G21_2 : state_type := "01001101";
   CONSTANT s218 : STATE_TYPE := "01101011";
   constant G21_3 : state_type := "01001110";
   CONSTANT s222 : STATE_TYPE := "01101001";
   constant G21_4 : state_type := "01001111";
   CONSTANT s223 : STATE_TYPE := "01101000";
   constant G21_e : state_type := "01010000";
   CONSTANT s224 : STATE_TYPE := "01111000";
   constant G22_1 : state_type := "01010001";
   CONSTANT s225 : STATE_TYPE := "01111001";
   constant G22_e : state_type := "01010010";
   CONSTANT s226 : STATE_TYPE := "01111011";
   constant G23_1 : state_type := "01010011";
   CONSTANT s243 : STATE_TYPE := "01111010";
   constant G23_e : state_type := "01010100";
   CONSTANT s244 : STATE_TYPE := "01111110";
   constant G24_1 : state_type := "01010101";
   CONSTANT s247 : STATE_TYPE := "01111111";
   constant G24_2 : state_type := "01010110";
   CONSTANT s344 : STATE_TYPE := "01111101";
   constant G24_e : state_type := "01010111";
   CONSTANT s343 : STATE_TYPE := "01111100";
   constant G25_1 : state_type := "01011000";
   CONSTANT s250 : STATE_TYPE := "01110100";
   constant G25_2 : state_type := "01011001";
   CONSTANT s251 : STATE_TYPE := "01110101";
   constant G25_e : state_type := "01011010";
   CONSTANT s351 : STATE_TYPE := "01110111";
   constant G26_1 : state_type := "01011011";
   CONSTANT s361 : STATE_TYPE := "01110110";
   constant G26_2 : state_type := "01011100";
   CONSTANT s360 : STATE_TYPE := "01110010";
   constant G26_3 : state_type := "01011101";
   CONSTANT s403 : STATE_TYPE := "01110011";
   constant G26_4 : state_type := "01011110";
   CONSTANT s406 : STATE_TYPE := "01110001";
   constant G26_e : state_type := "01011111";
   CONSTANT s407 : STATE_TYPE := "01110000";
   constant G27_1 : state_type := "01100000";
   CONSTANT s409 : STATE_TYPE := "01010000";
   constant G27_2 : state_type := "01100001";
   CONSTANT s412 : STATE_TYPE := "01010001";
   constant G27_3 : state_type := "01100010";
   CONSTANT s413 : STATE_TYPE := "01010011";
   constant G27_4 : state_type := "01100011";
   CONSTANT s416 : STATE_TYPE := "01010010";
   constant G27_e : state_type := "01100100";
   CONSTANT s418 : STATE_TYPE := "01010110";
   constant G28_1 : state_type := "01100101";
   CONSTANT s510 : STATE_TYPE := "01010111";
   constant G28_2 : state_type := "01100110";
   CONSTANT s553 : STATE_TYPE := "01010101";
   constant G28_3 : state_type := "01100111";
   CONSTANT s555 : STATE_TYPE := "01010100";
   constant G28_4 : state_type := "01101000";
   CONSTANT s558 : STATE_TYPE := "01011100";
   constant G28_5 : state_type := "01101001";
   CONSTANT s560 : STATE_TYPE := "01011101";
   constant G28_e : state_type := "01101010";
   CONSTANT s561 : STATE_TYPE := "01011111";
   constant G29_1 : state_type := "01101011";
   CONSTANT s563 : STATE_TYPE := "01011110";
   constant G29_2 : state_type := "01101100";
   CONSTANT s564 : STATE_TYPE := "01011010";
   constant G29_3 : state_type := "01101101";
   CONSTANT s565 : STATE_TYPE := "01011011";
   constant G29_4 : state_type := "01101110";
   CONSTANT s566 : STATE_TYPE := "01011001";
   constant G29_5 : state_type := "01101111";
   CONSTANT s266 : STATE_TYPE := "01011000";
   constant G29_e : state_type := "01110000";
   CONSTANT s301 : STATE_TYPE := "01001000";
   constant G2_1 : state_type := "01110001";
   CONSTANT s302 : STATE_TYPE := "01001001";
   constant G30_1 : state_type := "01110010";
   CONSTANT RES : STATE_TYPE := "01001011";
   constant G30_2 : state_type := "01110011";
   CONSTANT s511 : STATE_TYPE := "01001010";
   constant G30_3 : state_type := "01110100";
   CONSTANT s559 : STATE_TYPE := "01001110";
   constant G30_4 : state_type := "01110101";
   CONSTANT s562 : STATE_TYPE := "01001111";
   constant G30_5 : state_type := "01110110";
   CONSTANT s567 : STATE_TYPE := "01001101";
   constant G30_e : state_type := "01110111";
   CONSTANT s568 : STATE_TYPE := "01001100";
   constant G31_1 : state_type := "01111000";
   CONSTANT s569 : STATE_TYPE := "01000100";
   constant G32_1 : state_type := "01111001";
   CONSTANT s570 : STATE_TYPE := "01000101";
   constant G33_1 : state_type := "01111010";
   CONSTANT s571 : STATE_TYPE := "01000111";
   constant G34_1 : state_type := "01111011";
   CONSTANT s572 : STATE_TYPE := "01000110";
   constant G3_1 : state_type := "01111100";
   CONSTANT s573 : STATE_TYPE := "01000010";
   constant G4_1 : state_type := "01111101";
   CONSTANT s574 : STATE_TYPE := "01000011";
   constant G5_1 : state_type := "01111110";
   CONSTANT s548 : STATE_TYPE := "01000001";
   constant G6_1 : state_type := "01111111";
   CONSTANT s551 : STATE_TYPE := "01000000";
   constant G7_1 : state_type := "10000000";
   CONSTANT s552 : STATE_TYPE := "11000000";
   constant G8_1 : state_type := "10000001";
   CONSTANT s575 : STATE_TYPE := "11000001";
   constant G9_1 : state_type := "10000010";
   CONSTANT s576 : STATE_TYPE := "11000011";
   constant RES : state_type := "10000011";
   CONSTANT s577 : STATE_TYPE := "11000010";
 
   CONSTANT s578 : STATE_TYPE := "11000110";
 
 
   -- Declare current and next state signals
   -- Declare current and next state signals
   signal current_state : state_type;
   SIGNAL current_state : STATE_TYPE;
   signal next_state : state_type;
   SIGNAL next_state : STATE_TYPE;
 
 
   -- Declare any pre-registered internal signals
   -- Declare any pre-registered internal signals
   signal d_o_cld : std_logic_vector ( 7 downto 0 );
   SIGNAL d_o_cld : std_logic_vector ( 7 DOWNTO 0 );
   signal rd_o_cld : std_logic ;
   SIGNAL rd_o_cld : std_logic ;
   signal sync_o_cld : std_logic ;
   SIGNAL sync_o_cld : std_logic ;
   signal wr_o_cld : std_logic ;
   SIGNAL wr_n_o_cld : std_logic ;
 
   SIGNAL wr_o_cld : std_logic ;
 
 
begin
BEGIN
 
 
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   clocked_proc : process (
   clocked_proc : PROCESS (
      clk_clk_i,
      clk_clk_i,
      rst_rst_n_i
      rst_rst_n_i
   )
   )
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   begin
   BEGIN
      if (rst_rst_n_i = '0') then
      IF (rst_rst_n_i = '0') THEN
         current_state <= RES;
         current_state <= RES;
         -- Default Reset Values
         -- Default Reset Values
         d_o_cld <= X"00";
         d_o_cld <= X"00";
         rd_o_cld <= '0';
         rd_o_cld <= '0';
         sync_o_cld <= '0';
         sync_o_cld <= '0';
 
         wr_n_o_cld <= '1';
         wr_o_cld <= '0';
         wr_o_cld <= '0';
         reg_F <= "00000100";
         reg_F <= "00000100";
         reg_sel_pc_in <= '0';
         reg_sel_pc_in <= '0';
         reg_sel_pc_val <= "00";
         reg_sel_pc_val <= "00";
         reg_sel_rb_in <= "00";
         reg_sel_rb_in <= "00";
         reg_sel_rb_out <= "00";
         reg_sel_rb_out <= "00";
         reg_sel_reg <= "00";
         reg_sel_reg <= "00";
         reg_sel_sp_as <= '0';
         reg_sel_sp_as <= '0';
         reg_sel_sp_in <= '0';
         reg_sel_sp_in <= '0';
         sig_PC <= X"0000";
         sig_PC <= X"0000";
         zw_REG_NMI <= '0';
 
         zw_REG_OP <= X"00";
         zw_REG_OP <= X"00";
         zw_b1 <= X"00";
         zw_b1 <= X"00";
         zw_b2 <= X"00";
         zw_b2 <= X"00";
         zw_b3 <= X"00";
         zw_b3 <= X"00";
         zw_b4 <= X"00";
         zw_b4 <= X"00";
         zw_so <= '0';
         zw_so <= '0';
      elsif (clk_clk_i'event and clk_clk_i = '1') then
      ELSIF (clk_clk_i'EVENT AND clk_clk_i = '1') THEN
         current_state <= next_state;
         current_state <= next_state;
         -- Default Assignment To Internals
         -- Default Assignment To Internals
         reg_F <= reg_F(7) & (zw_so OR reg_F(6)) & reg_F(5 downto 0);
         reg_F <= reg_F(7) & (zw_so OR reg_F(6)) & reg_F(5 downto 0);
         reg_sel_pc_in <= reg_sel_pc_in;
         reg_sel_pc_in <= reg_sel_pc_in;
         reg_sel_pc_val <= reg_sel_pc_val;
         reg_sel_pc_val <= reg_sel_pc_val;
Line 328... Line 332...
         reg_sel_rb_out <= reg_sel_rb_out;
         reg_sel_rb_out <= reg_sel_rb_out;
         reg_sel_reg <= reg_sel_reg;
         reg_sel_reg <= reg_sel_reg;
         reg_sel_sp_as <= reg_sel_sp_as;
         reg_sel_sp_as <= reg_sel_sp_as;
         reg_sel_sp_in <= reg_sel_sp_in;
         reg_sel_sp_in <= reg_sel_sp_in;
         sig_PC <= sig_PC;
         sig_PC <= sig_PC;
         zw_REG_NMI <= zw_REG_NMI or nmi_i;
 
         zw_REG_OP <= zw_REG_OP;
         zw_REG_OP <= zw_REG_OP;
         zw_b1 <= zw_b1;
         zw_b1 <= zw_b1;
         zw_b2 <= zw_b2;
         zw_b2 <= zw_b2;
         zw_b3 <= zw_b3;
         zw_b3 <= zw_b3;
         zw_b4 <= zw_b4;
         zw_b4 <= zw_b4;
         zw_so <= (zw_so OR (NOT(so_n_i))) AND (NOT(reg_F(6)));
         zw_so <= (zw_so OR (NOT(so_n_i))) AND (NOT(reg_F(6)));
         d_o_cld <= sig_D_OUT;
         d_o_cld <= sig_D_OUT;
         rd_o_cld <= NOT(sig_WR);
         rd_o_cld <= sig_RD;
         sync_o_cld <= sig_SYNC;
         sync_o_cld <= sig_SYNC;
 
         wr_n_o_cld <= sig_RWn;
         wr_o_cld <= sig_WR;
         wr_o_cld <= sig_WR;
 
 
         -- Combined Actions
         -- Combined Actions
         case current_state is
         CASE current_state IS
            when FETCH =>
            WHEN FETCH =>
               zw_REG_OP <= d_i;
               zw_REG_OP <= d_i;
               if ((nmi_i = '1') and (rdy_i = '1')) then
               IF ((nmi_i = '1') AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_sp_i;
                  zw_REG_NMI <= '0';
               ELSIF ((irq_n_i = '0' and
               elsif ((irq_n_i = '0' and
                      reg_F(2) = '0') AND (rdy_i = '1')) THEN
                      reg_F(2) = '0') and (rdy_i = '1')) then
                  sig_PC <= adr_sp_i;
                  sig_PC <= adr_nxt_pc_i;
               ELSIF ((d_i = X"69" or
               elsif ((d_i = X"69" or
 
                      d_i = X"65" or
                      d_i = X"65" or
                      d_i = X"75" or
                      d_i = X"75" or
                      d_i = X"6D" or
                      d_i = X"6D" or
                      d_i = X"7D" or
                      d_i = X"7D" or
                      d_i = X"79" or
                      d_i = X"79" or
                      d_i = X"61" or
                      d_i = X"61" or
                      d_i = X"71") and (rdy_i = '1')) then
                      d_i = X"71") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b1(0) <= reg_F(7);
                  zw_b1(0) <= reg_F(7);
               elsif ((d_i = X"06" or
               ELSIF ((d_i = X"06" or
                      d_i = X"16" or
                      d_i = X"16" or
                      d_i = X"0E" or
                      d_i = X"0E" or
                      d_i = X"1E") and (rdy_i = '1')) then
                      d_i = X"1E") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"90" or
               ELSIF ((d_i = X"90" or
                      d_i = X"B0" or
                      d_i = X"B0" or
                      d_i = X"F0" or
                      d_i = X"F0" or
                      d_i = X"30" or
                      d_i = X"30" or
                      d_i = X"D0" or
                      d_i = X"D0" or
                      d_i = X"10" or
                      d_i = X"10" or
                      d_i = X"50" or
                      d_i = X"50" or
                      d_i = X"70") and (rdy_i = '1')) then
                      d_i = X"70") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b3 <= adr_nxt_pc_i (15 downto 8);
                  zw_b3 <= adr_nxt_pc_i (15 downto 8);
               elsif ((d_i = X"24" or
               ELSIF ((d_i = X"24" or
                      d_i = X"2C") and (rdy_i = '1')) then
                      d_i = X"2C") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"00") and (rdy_i = '1')) then
               ELSIF ((d_i = X"00") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"18") and (rdy_i = '1')) then
               ELSIF ((d_i = X"18") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"D8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"D8") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"58") and (rdy_i = '1')) then
               ELSIF ((d_i = X"58") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"B8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"B8") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"E0" or
               ELSIF ((d_i = X"E0" or
                      d_i = X"E4" or
                      d_i = X"E4" or
                      d_i = X"EC") and (rdy_i = '1')) then
                      d_i = X"EC") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"C0" or
               ELSIF ((d_i = X"C0" or
                      d_i = X"C4" or
                      d_i = X"C4" or
                      d_i = X"CC") and (rdy_i = '1')) then
                      d_i = X"CC") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "10";
                  reg_sel_rb_out <= "10";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"C6" or
               ELSIF ((d_i = X"C6" or
                      d_i = X"D6" or
                      d_i = X"D6" or
                      d_i = X"CE" or
                      d_i = X"CE" or
                      d_i = X"DE") and (rdy_i = '1')) then
                      d_i = X"DE") AND (rdy_i = '1')) THEN
                  zw_b4 <= X"FF";
                  zw_b4 <= X"FF";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"CA") and (rdy_i = '1')) then
               ELSIF ((d_i = X"CA") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b4 <= X"FF";
                  zw_b4 <= X"FF";
               elsif ((d_i = X"88") and (rdy_i = '1')) then
               ELSIF ((d_i = X"88") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "10";
                  reg_sel_rb_out <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b4 <= X"FF";
                  zw_b4 <= X"FF";
               elsif ((d_i = X"49" or
               ELSIF ((d_i = X"49" or
                      d_i = X"45" or
                      d_i = X"45" or
                      d_i = X"55" or
                      d_i = X"55" or
                      d_i = X"4D" or
                      d_i = X"4D" or
                      d_i = X"5D" or
                      d_i = X"5D" or
                      d_i = X"59" or
                      d_i = X"59" or
Line 443... Line 446...
                      d_i = X"D5" or
                      d_i = X"D5" or
                      d_i = X"CD" or
                      d_i = X"CD" or
                      d_i = X"DD" or
                      d_i = X"DD" or
                      d_i = X"D9" or
                      d_i = X"D9" or
                      d_i = X"C1" or
                      d_i = X"C1" or
                      d_i = X"D1") and (rdy_i = '1')) then
                      d_i = X"D1") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"E6" or
               ELSIF ((d_i = X"E6" or
                      d_i = X"F6" or
                      d_i = X"F6" or
                      d_i = X"EE" or
                      d_i = X"EE" or
                      d_i = X"FE") and (rdy_i = '1')) then
                      d_i = X"FE") AND (rdy_i = '1')) THEN
                  zw_b4 <= X"01";
                  zw_b4 <= X"01";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"E8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"E8") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b4 <= X"01";
                  zw_b4 <= X"01";
               elsif ((d_i = X"C8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"C8") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "10";
                  reg_sel_rb_out <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b4 <= X"01";
                  zw_b4 <= X"01";
               elsif ((d_i = X"4C" or
               ELSIF ((d_i = X"4C" or
                      d_i = X"6C") and (rdy_i = '1')) then
                      d_i = X"6C") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"20") and (rdy_i = '1')) then
               ELSIF ((d_i = X"20") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"A9" or
               ELSIF ((d_i = X"A9" or
                      d_i = X"A5" or
                      d_i = X"A5" or
                      d_i = X"B5" or
                      d_i = X"B5" or
                      d_i = X"AD" or
                      d_i = X"AD" or
                      d_i = X"BD" or
                      d_i = X"BD" or
                      d_i = X"B9" or
                      d_i = X"B9" or
                      d_i = X"A1" or
                      d_i = X"A1" or
                      d_i = X"B1") and (rdy_i = '1')) then
                      d_i = X"B1") AND (rdy_i = '1')) THEN
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"A2" or
               ELSIF ((d_i = X"A2" or
                      d_i = X"A6" or
                      d_i = X"A6" or
                      d_i = X"B6" or
                      d_i = X"B6" or
                      d_i = X"AE" or
                      d_i = X"AE" or
                      d_i = X"BE") and (rdy_i = '1')) then
                      d_i = X"BE") AND (rdy_i = '1')) THEN
                  reg_sel_reg <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"A0" or
               ELSIF ((d_i = X"A0" or
                      d_i = X"A4" or
                      d_i = X"A4" or
                      d_i = X"B4" or
                      d_i = X"B4" or
                      d_i = X"AC" or
                      d_i = X"AC" or
                      d_i = X"BC") and (rdy_i = '1')) then
                      d_i = X"BC") AND (rdy_i = '1')) THEN
                  reg_sel_reg <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"46" or
               ELSIF ((d_i = X"46" or
                      d_i = X"56" or
                      d_i = X"56" or
                      d_i = X"4E" or
                      d_i = X"4E" or
                      d_i = X"5E") and (rdy_i = '1')) then
                      d_i = X"5E") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"EA") and (rdy_i = '1')) then
               ELSIF ((d_i = X"EA") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"48") and (rdy_i = '1')) then
               ELSIF ((d_i = X"48") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"08") and (rdy_i = '1')) then
               ELSIF ((d_i = X"08") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"68") and (rdy_i = '1')) then
               ELSIF ((d_i = X"68") AND (rdy_i = '1')) THEN
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
 
 
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
               elsif ((d_i = X"28") and (rdy_i = '1')) then
               ELSIF ((d_i = X"28") AND (rdy_i = '1')) THEN
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"26" or
               ELSIF ((d_i = X"26" or
                      d_i = X"36" or
                      d_i = X"36" or
                      d_i = X"2E" or
                      d_i = X"2E" or
                      d_i = X"3E") and (rdy_i = '1')) then
                      d_i = X"3E") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"66" or
               ELSIF ((d_i = X"66" or
                      d_i = X"76" or
                      d_i = X"76" or
                      d_i = X"6E" or
                      d_i = X"6E" or
                      d_i = X"7E") and (rdy_i = '1')) then
                      d_i = X"7E") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"40") and (rdy_i = '1')) then
               ELSIF ((d_i = X"40") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"60") and (rdy_i = '1')) then
               ELSIF ((d_i = X"60") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"E9" or
               ELSIF ((d_i = X"E9" or
                      d_i = X"E5" or
                      d_i = X"E5" or
                      d_i = X"F5" or
                      d_i = X"F5" or
                      d_i = X"ED" or
                      d_i = X"ED" or
                      d_i = X"FD" or
                      d_i = X"FD" or
                      d_i = X"F9" or
                      d_i = X"F9" or
                      d_i = X"E1" or
                      d_i = X"E1" or
                      d_i = X"F1") and (rdy_i = '1')) then
                      d_i = X"F1") AND (rdy_i = '1')) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  zw_b1(0) <= reg_F(7);
                  zw_b1(0) <= reg_F(7);
               elsif ((d_i = X"38") and (rdy_i = '1')) then
               ELSIF ((d_i = X"38") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"F8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"F8") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"78") and (rdy_i = '1')) then
               ELSIF ((d_i = X"78") AND (rdy_i = '1')) THEN
               elsif ((d_i = X"85" or
               ELSIF ((d_i = X"85" or
                      d_i = X"95" or
                      d_i = X"95" or
                      d_i = X"8D" or
                      d_i = X"8D" or
                      d_i = X"9D" or
                      d_i = X"9D" or
                      d_i = X"99" or
                      d_i = X"99" or
                      d_i = X"81" or
                      d_i = X"81" or
                      d_i = X"91") and (rdy_i = '1')) then
                      d_i = X"91") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"86" or
               ELSIF ((d_i = X"86" or
                      d_i = X"96" or
                      d_i = X"96" or
                      d_i = X"8E") and (rdy_i = '1')) then
                      d_i = X"8E") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"84" or
               ELSIF ((d_i = X"84" or
                      d_i = X"94" or
                      d_i = X"94" or
                      d_i = X"8C") and (rdy_i = '1')) then
                      d_i = X"8C") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "10";
                  reg_sel_rb_out <= "10";
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif ((d_i = X"AA") and (rdy_i = '1')) then
               ELSIF ((d_i = X"AA") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_rb_in <= "00";
                  reg_sel_rb_in <= "00";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"0A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"0A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
               elsif ((d_i = X"4A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"4A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
               elsif ((d_i = X"2A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"2A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
               elsif ((d_i = X"6A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"6A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
               elsif ((d_i = X"A8") and (rdy_i = '1')) then
               ELSIF ((d_i = X"A8") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "00";
                  reg_sel_rb_out <= "00";
                  reg_sel_reg <= "10";
                  reg_sel_reg <= "10";
                  reg_sel_rb_in <= "00";
                  reg_sel_rb_in <= "00";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"98") and (rdy_i = '1')) then
               ELSIF ((d_i = X"98") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "10";
                  reg_sel_rb_out <= "10";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "01";
                  reg_sel_rb_in <= "01";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"BA") and (rdy_i = '1')) then
               ELSIF ((d_i = X"BA") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_reg <= "01";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"8A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"8A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  reg_sel_reg <= "00";
                  reg_sel_reg <= "00";
                  reg_sel_rb_in <= "10";
                  reg_sel_rb_in <= "10";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               elsif ((d_i = X"9A") and (rdy_i = '1')) then
               ELSIF ((d_i = X"9A") AND (rdy_i = '1')) THEN
                  reg_sel_rb_out <= "01";
                  reg_sel_rb_out <= "01";
                  reg_sel_reg <= "11";
                  reg_sel_reg <= "11";
                  reg_sel_rb_in <= "11";
                  reg_sel_rb_in <= "11";
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_in <= '1';
                  reg_sel_sp_as <= '0';
                  reg_sel_sp_as <= '0';
               end if;
               END IF;
            when G10_1 =>
            WHEN s1 =>
               if (rdy_i = '1' and
               IF (rdy_i = '1') THEN
                   zw_REG_OP = X"65") then
                  sig_PC <= adr_pc_i;
                  sig_PC <= X"00" & d_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"69" and
 
                      reg_F(3) = '0') then
 
                  sig_PC <= adr_nxt_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' and
               END IF;
                      zw_REG_OP = X"75") then
            WHEN s2 =>
                  sig_PC <= X"00" & d_i;
               IF (rdy_i = '1') THEN
                  zw_b1 <= d_alu_i;
                  sig_PC <= adr_pc_i;
               elsif (rdy_i = '1' and
                  reg_F(0) <= '1';
                      zw_REG_OP = X"6D") then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"7D") then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"79") then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"71") then
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"61") then
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"69" and
 
                      reg_F(3) = '1') then
 
                  sig_PC <= adr_nxt_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU4(4);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G10_2 =>
            WHEN s5 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G10_3 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
               end if;
 
            when G10_4 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               end if;
 
            when G10_5 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               end if;
 
            when G10_6 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               end if;
 
            when G10_7 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G10_e1 =>
 
               if (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '0') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
                  reg_F(3) <= '1';
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' AND
               END IF;
                      zw_b2(0) = '0' and
            WHEN s3 =>
                      reg_F(3) = '1') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
               IF (rdy_i = '1') THEN
                  reg_F(7) <= zw_ALU(7);
                  sig_PC <= adr_pc_i;
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
                  reg_F(2) <= '1';
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU4(4);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               END IF;
                  sig_PC <= zw_b3 & zw_b1;
            WHEN s4 =>
               end if;
               IF (rdy_i = '1' and
            when G10_e2 =>
                   zw_REG_OP = X"9A") THEN
               if (rdy_i = '1' and
 
                   reg_F(3) = '0') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      reg_F(3) = '1') then
                      zw_REG_OP = X"BA") THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= zw_ALU(7);
                  reg_F(1) <= reg_1flag_i;
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU4(4);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G10_e3 =>
                  sig_PC <= adr_pc_i;
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & d_alu_i;
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G11_1 =>
 
               if (rdy_i = '1' and
 
                   (zw_REG_OP = X"1E" or
 
                   zw_REG_OP = X"7E" or
 
                   zw_REG_OP = X"3E" or
 
                   zw_REG_OP = X"5E")) then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"06" or
 
                      zw_REG_OP = X"66" or
 
                      zw_REG_OP = X"26" or
 
                      zw_REG_OP = X"46")) then
 
                  sig_PC <= X"00" & d_i;
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"16" or
 
                      zw_REG_OP = X"76" or
 
                      zw_REG_OP = X"36" or
 
                      zw_REG_OP = X"56")) then
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"0E" or
 
                      zw_REG_OP = X"6E" or
 
                      zw_REG_OP = X"2E" or
 
                      zw_REG_OP = X"4E")) then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G11_2 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G11_4 =>
 
               if (rdy_i = '1' and
 
                   (zw_REG_OP = X"06" or
 
                   zw_REG_OP = X"16" or
 
                   zw_REG_OP = X"0E" or
 
                   zw_REG_OP = X"1E")) then
 
                  zw_b1 <= d_i(6 downto 0) & '0';
 
                  zw_b2(0) <= d_i(7);
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"46" or
 
                      zw_REG_OP = X"56" or
 
                      zw_REG_OP = X"4E" or
 
                      zw_REG_OP = X"5E")) then
 
                  zw_b1 <= '0' & d_i(7 downto 1);
 
                  zw_b2(0) <= d_i(0);
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"26" or
 
                      zw_REG_OP = X"36" or
 
                      zw_REG_OP = X"2E" or
 
                      zw_REG_OP = X"3E")) then
 
                  zw_b1 <= d_i(6 downto 0) & reg_F(0);
 
                  zw_b2(0) <= d_i(7);
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"66" or
 
                      zw_REG_OP = X"76" or
 
                      zw_REG_OP = X"6E" or
 
                      zw_REG_OP = X"7E")) then
 
                  zw_b1 <= reg_F(0) & d_i(7 downto 1);
 
                  zw_b2(0) <= d_i(0);
 
               end if;
 
            when G11_5 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
               end if;
 
            when G11_6 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               end if;
 
            when G11_7 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= zw_b3 & zw_b1;
 
               end if;
 
            when G11_e =>
 
               reg_F(0) <= zw_b2(0);
 
               reg_F(7) <= reg_7flag_i;
               reg_F(7) <= reg_7flag_i;
               reg_F(1) <= reg_1flag_i;
               reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s12 =>
 
               IF (rdy_i = '1') THEN
               sig_PC <= adr_pc_i;
               sig_PC <= adr_pc_i;
 
                  reg_F(0) <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_val <= "00";
               reg_sel_pc_val <= "00";
               reg_sel_sp_in <= '0';
               reg_sel_sp_in <= '0';
               reg_sel_sp_as <= '1';
               reg_sel_sp_as <= '1';
            when G12_1 =>
               END IF;
               if (rdy_i = '1' and (
            WHEN s16 =>
                   (reg_F(0) = '1' and zw_REG_OP = X"90") or
               IF (rdy_i = '1') THEN
                   (reg_F(0) = '0' and zw_REG_OP = X"B0") or
                  sig_PC <= adr_pc_i;
                   (reg_F(1) = '0' and zw_REG_OP = X"F0") or
                  reg_F(3) <= '0';
                   (reg_F(7) = '0' and zw_REG_OP = X"30") or
 
                   (reg_F(1) = '1' and zw_REG_OP = X"D0") or
 
                   (reg_F(7) = '1' and zw_REG_OP = X"10") or
 
                   (reg_F(6) = '1' and zw_REG_OP = X"50") or
 
                   (reg_F(6) = '0' and zw_REG_OP = X"70"))) then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               END IF;
                  sig_PC <= adr_nxt_pc_i;
            WHEN s17 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(2) <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "10";
                  reg_sel_pc_val <= "00";
                  zw_b2 <= d_i;
                  reg_sel_sp_in <= '0';
               end if;
                  reg_sel_sp_as <= '1';
            when G12_e1 =>
               END IF;
               if (rdy_i = '1' and
            WHEN s24 =>
                   zw_b3 = adr_nxt_pc_i (15 downto 8)) then
               IF (rdy_i = '1') THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_pc_i;
 
                  reg_F(6) <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               END IF;
                  sig_PC <= zw_b3 & adr_nxt_pc_i (7 downto 0);
            WHEN s25 =>
               end if;
               IF (rdy_i = '1') THEN
            when G12_e2 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G13_1 =>
            WHEN s271 =>
               if (rdy_i = '1' and
               IF (rdy_i = '1' and
                   zw_REG_OP = X"24") then
                   zw_REG_OP = X"4C") THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= adr_nxt_pc_i;
               elsif (rdy_i = '1' and
                  reg_sel_pc_in <= '1';
                      zw_REG_OP = X"2C") then
 
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"6C") THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "00";
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               end if;
               END IF;
            when G13_2 =>
            WHEN s273 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_sel_pc_in <= '0';
 
 
 
                  reg_sel_pc_val <= "00";
 
                  zw_b2 <= d_i;
 
               END IF;
 
            WHEN s304 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= zw_b2 & adr_pc_i(7 downto 0);
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s307 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
 
            when G13_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= d_i(7);
 
                  reg_F(6) <= d_i(6);
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G14_1 =>
            WHEN s177 =>
               if (rdy_i = '1' and
               IF (rdy_i = '1' and
                   (zw_REG_OP = X"C6" OR
                   (zw_REG_OP = X"85" OR
                   zw_REG_OP = X"E6")) then
                   zw_REG_OP = X"86" OR
 
                   zw_REG_OP = X"84")) THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"D6" OR
                      (zw_REG_OP = X"95" OR
                      zw_REG_OP = X"F6")) then
                      zw_REG_OP = X"94")) THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"CE" OR
                      (zw_REG_OP = X"8D" OR
                      zw_REG_OP = X"EE")) then
                      zw_REG_OP = X"8E" OR
 
                      zw_REG_OP = X"8C")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"DE" OR
                      zw_REG_OP = X"9D") THEN
                      zw_REG_OP = X"FE")) then
 
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               end if;
               ELSIF (rdy_i = '1' and
            when G14_2 =>
                      zw_REG_OP = X"99") THEN
               if (rdy_i = '1') then
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= X"00" & zw_b1;
                  zw_b1 <= d_alu_i;
               end if;
                  zw_b2(0) <= reg_0flag_i;
            when G14_3 =>
               ELSIF (rdy_i = '1' and
               if (rdy_i = '1') then
                      zw_REG_OP = X"91") THEN
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"81") THEN
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"96") THEN
 
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               end if;
               END IF;
            when G14_5 =>
            WHEN s180 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
                  zw_b3 <= d_alu_i;
            when G14_6 =>
               END IF;
               if (rdy_i = '1') then
            WHEN s181 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               END IF;
 
            WHEN s182 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
                  zw_b3 <= d_alu_i;
                  zw_b3 <= d_alu_i;
               end if;
               END IF;
            when G14_7 =>
            WHEN s183 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= zw_b3 & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
               END IF;
            when G14_e =>
            WHEN s184 =>
               reg_F(7) <= reg_7flag_i;
 
               reg_F(1) <= reg_1flag_i;
 
               sig_PC <= adr_pc_i;
               sig_PC <= adr_pc_i;
               reg_sel_pc_in <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_val <= "00";
               reg_sel_pc_val <= "00";
               reg_sel_sp_in <= '0';
               reg_sel_sp_in <= '0';
               reg_sel_sp_as <= '1';
               reg_sel_sp_as <= '1';
            when G15_1 =>
            WHEN s185 =>
               if (rdy_i = '1' and
               IF (rdy_i = '1') THEN
                   (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
                  sig_PC <= X"00" & zw_b1;
                   zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
               END IF;
                   zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
            WHEN s186 =>
                   zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & zw_b1;
               elsif ((rdy_i = '1' and
               END IF;
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
            WHEN s187 =>
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
               sig_PC <= adr_pc_i;
                      zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
 
                      zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
 
                      zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' and
            WHEN s188 =>
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
               IF (rdy_i = '1') THEN
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                  sig_PC <= X"00" & d_alu_i;
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                  zw_b1 <= d_i;
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
               END IF;
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
            WHEN s189 =>
                  sig_PC <= adr_nxt_pc_i;
               IF (rdy_i = '1') THEN
                  reg_F(7) <= reg_7flag_i;
                  sig_PC <= d_i & zw_b1;
                  reg_F(1) <= reg_1flag_i;
                  zw_b3 <= d_alu_i;
 
               END IF;
 
            WHEN s190 =>
 
               sig_PC <= adr_pc_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' and
            WHEN s191 =>
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
               sig_PC <= zw_b3 & zw_b1;
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
            WHEN s192 =>
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
               sig_PC <= d_i & zw_b1;
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
            WHEN s193 =>
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
               sig_PC <= adr_pc_i;
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' and
            WHEN s377 =>
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
               IF (rdy_i = '1') THEN
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                  sig_PC <= adr_sp_i;
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
               END IF;
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
            WHEN s381 =>
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
               sig_PC <= adr_pc_i;
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
               reg_sel_pc_in <= '0';
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
               reg_sel_pc_val <= "00";
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
               reg_sel_sp_in <= '0';
 
               reg_sel_sp_as <= '1';
 
            WHEN s378 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s382 =>
 
               sig_PC <= adr_pc_i;
 
               reg_sel_pc_in <= '0';
 
               reg_sel_pc_val <= "00";
 
               reg_sel_sp_in <= '0';
 
               reg_sel_sp_as <= '1';
 
            WHEN s383 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s384 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s385 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s386 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F <= d_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s387 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s388 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s389 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
                  reg_F <= d_i;
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "11";
 
               END IF;
 
            WHEN s391 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s392 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s390 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s393 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s394 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "00";
 
               END IF;
 
            WHEN s395 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
               END IF;
 
            WHEN s396 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s397 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s399 =>
 
               sig_PC <= adr_sp_i;
 
            WHEN s400 =>
 
               sig_PC <= adr_pc_i;
 
               reg_sel_pc_in <= '1';
 
 
 
               reg_sel_pc_val <= "11";
 
            WHEN s401 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1 (7 downto 0);
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s526 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_sp_i;
 
               END IF;
 
            WHEN s527 =>
 
               sig_PC <= adr_sp_i;
 
            WHEN s528 =>
 
               sig_PC <= adr_sp_i;
 
            WHEN s529 =>
 
               sig_PC <= X"FFFE";
 
            WHEN s530 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_F(2) <= '1';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s531 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"FFFF";
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s544 =>
 
               sig_PC <= adr_sp_i;
 
            WHEN s545 =>
 
               sig_PC <= adr_sp_i;
 
               reg_sel_pc_in <= '0';
 
 
 
               reg_sel_pc_val <= "00";
 
            WHEN s546 =>
 
               sig_PC <= adr_pc_i;
 
            WHEN s547 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  zw_b1 <= d_i;
 
                  reg_sel_pc_in <= '1';
 
 
 
                  reg_sel_pc_val <= "11";
 
               END IF;
 
            WHEN s549 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC  <= d_i & zw_b1;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s550 =>
 
               sig_PC <= adr_sp_i;
 
               reg_sel_pc_in <= '1';
 
 
 
               reg_sel_pc_val <= "00";
 
            WHEN s404 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(7);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s556 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(0);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s557 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(7);
 
                  reg_F(0) <= q_a_i(7);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s579 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(0);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s201 =>
 
               IF (rdy_i = '1' and
 
                   (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
 
                   zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
 
                   zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
 
                   zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) THEN
 
                  sig_PC <= X"00" & d_i;
 
               ELSIF ((rdy_i = '1' and
 
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
 
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
 
                      zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
 
                      zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
 
                      zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               ELSIF ((rdy_i = '1' and
 
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
 
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
 
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
 
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
 
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               ELSIF ((rdy_i = '1' and
 
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
 
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
 
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
 
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
 
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               ELSIF ((rdy_i = '1' and
 
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
 
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
 
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
 
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
 
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
 
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
 
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
 
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_F(7) <= zw_ALU(7);
                  reg_F(7) <= zw_ALU(7);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(0)));
                  (zw_ALU(0)));
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                      (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
                      zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"B5" OR
                      (zw_REG_OP = X"B5" OR
                      zw_REG_OP = X"B4" OR
                      zw_REG_OP = X"B4" OR
                      zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                      zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                      zw_REG_OP = X"35" OR
                      zw_REG_OP = X"35" OR
                      zw_REG_OP = X"D5")) then
                      zw_REG_OP = X"D5")) THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"AD" OR
                      (zw_REG_OP = X"AD" OR
                      zw_REG_OP = X"AE" OR
                      zw_REG_OP = X"AE" OR
                      zw_REG_OP = X"AC" OR
                      zw_REG_OP = X"AC" OR
                      zw_REG_OP = X"4D" OR
                      zw_REG_OP = X"4D" OR
                      zw_REG_OP = X"0D" OR
                      zw_REG_OP = X"0D" OR
                      zw_REG_OP = X"2D" OR
                      zw_REG_OP = X"2D" OR
                      zw_REG_OP = X"CD" OR
                      zw_REG_OP = X"CD" OR
                      zw_REG_OP = X"EC" OR
                      zw_REG_OP = X"EC" OR
                      zw_REG_OP = X"CC")) then
                      zw_REG_OP = X"CC")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"BD" OR
                      (zw_REG_OP = X"BD" OR
                      zw_REG_OP = X"BC" OR
                      zw_REG_OP = X"BC" OR
                      zw_REG_OP = X"5D" OR
                      zw_REG_OP = X"5D" OR
                      zw_REG_OP = X"1D" OR
                      zw_REG_OP = X"1D" OR
                      zw_REG_OP = X"3D" OR
                      zw_REG_OP = X"3D" OR
                      zw_REG_OP = X"DD")) then
                      zw_REG_OP = X"DD")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"B9" OR
                      (zw_REG_OP = X"B9" OR
                      zw_REG_OP = X"BE" OR
                      zw_REG_OP = X"BE" OR
                      zw_REG_OP = X"59" OR
                      zw_REG_OP = X"59" OR
                      zw_REG_OP = X"19" OR
                      zw_REG_OP = X"19" OR
                      zw_REG_OP = X"39" OR
                      zw_REG_OP = X"39" OR
                      zw_REG_OP = X"D9")) then
                      zw_REG_OP = X"D9")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"B1" OR
                      (zw_REG_OP = X"B1" OR
                      zw_REG_OP = X"51" OR
                      zw_REG_OP = X"51" OR
                      zw_REG_OP = X"11" OR
                      zw_REG_OP = X"11" OR
                      zw_REG_OP = X"31" OR
                      zw_REG_OP = X"31" OR
                      zw_REG_OP = X"D1")) then
                      zw_REG_OP = X"D1")) THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"A1" OR
                      (zw_REG_OP = X"A1" OR
                      zw_REG_OP = X"41" OR
                      zw_REG_OP = X"41" OR
                      zw_REG_OP = X"01" OR
                      zw_REG_OP = X"01" OR
                      zw_REG_OP = X"21" OR
                      zw_REG_OP = X"21" OR
                      zw_REG_OP = X"C1")) then
                      zw_REG_OP = X"C1")) THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"B6") then
                      zw_REG_OP = X"B6") THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               end if;
               END IF;
            when G15_2 =>
            WHEN s202 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
               END IF;
            when G15_3 =>
            WHEN s210 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
                  zw_b3 <= d_alu_i;
            when G15_4 =>
               END IF;
               if (rdy_i = '1') then
            WHEN s211 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
                  zw_b3 <= d_alu_i;
                  zw_b3 <= d_alu_i;
               end if;
               END IF;
            when G15_5 =>
            WHEN s215 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & zw_b1;
                  sig_PC <= X"00" & zw_b1;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               end if;
               END IF;
            when G15_6 =>
            WHEN s217 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s218 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s222 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & d_alu_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s223 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
                  zw_b3 <= d_alu_i;
                  zw_b3 <= d_alu_i;
               end if;
               END IF;
            when G15_7 =>
            WHEN s224 =>
               if (rdy_i = '1') then
               IF ((rdy_i = '1') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G15_e1 =>
 
               if ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
 
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' AND
               ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                      zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
 
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' AND
               ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                      zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
 
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1' AND
               ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                      zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
 
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= zw_ALU(7);
                  reg_F(7) <= zw_ALU(7);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(0)));
                  (zw_ALU(0)));
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' AND
               ELSIF (rdy_i = '1') THEN
                      zw_b2(0) = '0') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               END IF;
                  sig_PC <= zw_b3 & zw_b1;
            WHEN s225 =>
               end if;
               IF ((rdy_i = '1' AND
            when G15_e2 =>
                   zw_b2(0) = '0') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
               if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
 
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
               ELSIF ((rdy_i = '1' AND
 
                      zw_b2(0) = '0') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                      zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                      zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                      zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
               ELSIF ((rdy_i = '1' AND
 
                      zw_b2(0) = '0') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                      zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                      zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                       zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
               ELSIF ((rdy_i = '1' AND
 
                      zw_b2(0) = '0') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                      zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                      zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                      zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                       zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                       zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                       zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= zw_ALU(7);
                  reg_F(7) <= zw_ALU(7);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(0) <= zw_ALU(8);
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(0)));
                  (zw_ALU(0)));
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               ELSIF (rdy_i = '1' AND
 
                      zw_b2(0) = '0') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= reg_7flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G15_e3 =>
                  sig_PC <= zw_b3 & zw_b1;
               if (rdy_i = '1') then
               END IF;
                  sig_PC <= X"00" & d_alu_i;
            WHEN s226 =>
                  zw_b1 <= d_i;
               IF (rdy_i = '1' and
               end if;
                   (zw_REG_OP = X"C6" OR
            when G16_1 =>
                   zw_REG_OP = X"E6")) THEN
               if (rdy_i = '1' and
 
                   zw_REG_OP = X"E5") then
 
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"E9" and
                      (zw_REG_OP = X"D6" OR
                      reg_F(3) = '0') then
                      zw_REG_OP = X"F6")) THEN
                  sig_PC <= adr_nxt_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"F5") then
 
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"ED") then
                      (zw_REG_OP = X"CE" OR
 
                      zw_REG_OP = X"EE")) THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"FD") then
                      (zw_REG_OP = X"DE" OR
                  sig_PC <= adr_nxt_pc_i;
                      zw_REG_OP = X"FE")) THEN
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"F9") then
 
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               elsif (rdy_i = '1' and
               END IF;
                      zw_REG_OP = X"F1") then
            WHEN s243 =>
                  sig_PC <= X"00" & d_i;
               IF (rdy_i = '1') THEN
                  zw_b1 <= d_alu_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"E1") then
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"E9" and
 
                      reg_F(3) = '1') then
 
                  sig_PC <= adr_nxt_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU2(4);
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G16_2 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G16_3 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
               END IF;
            when G16_4 =>
            WHEN s244 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
                  zw_b3 <= d_alu_i;
                  zw_b3 <= d_alu_i;
               end if;
               END IF;
            when G16_5 =>
            WHEN s247 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & zw_b1;
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s344 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= zw_b3 & zw_b1;
 
               END IF;
 
            WHEN s343 =>
 
               IF (rdy_i = '1') THEN
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
               END IF;
               end if;
            WHEN s251 =>
            when G16_6 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               end if;
 
            when G16_7 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
 
               end if;
 
            when G16_e1 =>
 
               if (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '0') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
               reg_F(7) <= reg_7flag_i;
                  reg_F(7) <= zw_ALU(7);
               reg_F(1) <= reg_1flag_i;
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' AND
            WHEN s351 =>
                      zw_b2(0) = '0' and
               IF (rdy_i = '1' and
                      reg_F(3) = '1') then
                   zw_REG_OP = X"24") THEN
 
                  sig_PC <= X"00" & d_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"2C") THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s361 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= d_i(7);
                  reg_F(7) <= zw_ALU(7);
                  reg_F(6) <= d_i(6);
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
                  reg_F(1) <= reg_1flag_i;
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU2(4);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1') then
               END IF;
                  sig_PC <= zw_b3 & zw_b1;
            WHEN s360 =>
               end if;
               IF (rdy_i = '1') THEN
            when G16_e2 =>
                  sig_PC <= d_i & zw_b1;
               if (rdy_i = '1' and
               END IF;
                   reg_F(3) = '0') then
            WHEN s403 =>
                  sig_PC <= adr_pc_i;
               IF (rdy_i = '1' and
 
                   (zw_REG_OP = X"1E" or
                  reg_F(7) <= zw_ALU(7);
                   zw_REG_OP = X"7E" or
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
                   zw_REG_OP = X"3E" or
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                   zw_REG_OP = X"5E")) THEN
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  sig_PC <= adr_nxt_pc_i;
                  (zw_ALU(0)));
                  zw_b1 <= d_alu_i;
                  reg_F(0) <= zw_ALU(8);
                  zw_b2(0) <= reg_0flag_i;
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"06" or
 
                      zw_REG_OP = X"66" or
 
                      zw_REG_OP = X"26" or
 
                      zw_REG_OP = X"46")) THEN
 
                  sig_PC <= X"00" & d_i;
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"16" or
 
                      zw_REG_OP = X"76" or
 
                      zw_REG_OP = X"36" or
 
                      zw_REG_OP = X"56")) THEN
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"0E" or
 
                      zw_REG_OP = X"6E" or
 
                      zw_REG_OP = X"2E" or
 
                      zw_REG_OP = X"4E")) THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s406 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
               END IF;
 
            WHEN s407 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               END IF;
 
            WHEN s409 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s412 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= zw_b3 & zw_b1;
 
               END IF;
 
            WHEN s416 =>
 
               IF (rdy_i = '1' and
 
                   (zw_REG_OP = X"06" or
 
                   zw_REG_OP = X"16" or
 
                   zw_REG_OP = X"0E" or
 
                   zw_REG_OP = X"1E")) THEN
 
                  zw_b1 <= d_i(6 downto 0) & '0';
 
                  zw_b2(0) <= d_i(7);
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"46" or
 
                      zw_REG_OP = X"56" or
 
                      zw_REG_OP = X"4E" or
 
                      zw_REG_OP = X"5E")) THEN
 
                  zw_b1 <= '0' & d_i(7 downto 1);
 
                  zw_b2(0) <= d_i(0);
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"26" or
 
                      zw_REG_OP = X"36" or
 
                      zw_REG_OP = X"2E" or
 
                      zw_REG_OP = X"3E")) THEN
 
                  zw_b1 <= d_i(6 downto 0) & reg_F(0);
 
                  zw_b2(0) <= d_i(7);
 
               ELSIF (rdy_i = '1' and
 
                      (zw_REG_OP = X"66" or
 
                      zw_REG_OP = X"76" or
 
                      zw_REG_OP = X"6E" or
 
                      zw_REG_OP = X"7E")) THEN
 
                  zw_b1 <= reg_F(0) & d_i(7 downto 1);
 
                  zw_b2(0) <= d_i(0);
 
               END IF;
 
            WHEN s418 =>
 
               sig_PC <= adr_pc_i;
 
               reg_F(0) <= zw_b2(0);
 
               reg_F(7) <= reg_7flag_i;
 
               reg_F(1) <= reg_1flag_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               elsif (rdy_i = '1' and
            WHEN s510 =>
                      reg_F(3) = '1') then
               IF (rdy_i = '1' and
                  sig_PC <= adr_pc_i;
                   zw_REG_OP = X"65") THEN
 
                  sig_PC <= X"00" & d_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"69" and
 
                      reg_F(3) = '0') THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
 
                  reg_F(7) <= zw_ALU(7);
                  reg_F(7) <= zw_ALU(7);
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  (zw_ALU(0)));
                  (zw_ALU(0)));
                  reg_F(0) <= zw_ALU2(4);
                  reg_F(0) <= zw_ALU(8);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1' and
            when G16_e3 =>
                      zw_REG_OP = X"75") THEN
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & d_alu_i;
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G17_1 =>
 
               if (rdy_i = '1' and
 
                   (zw_REG_OP = X"85" OR
 
                   zw_REG_OP = X"86" OR
 
                   zw_REG_OP = X"84")) then
 
                  sig_PC <= X"00" & d_i;
 
               elsif (rdy_i = '1' and
 
                      (zw_REG_OP = X"95" OR
 
                      zw_REG_OP = X"94")) then
 
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      (zw_REG_OP = X"8D" OR
                      zw_REG_OP = X"6D") THEN
                      zw_REG_OP = X"8E" OR
 
                      zw_REG_OP = X"8C")) then
 
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"9D") then
                      zw_REG_OP = X"7D") THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"99") then
                      zw_REG_OP = X"79") THEN
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"91") then
                      zw_REG_OP = X"71") THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"81") then
                      zw_REG_OP = X"61") THEN
                  sig_PC <= X"00" & d_i;
                  sig_PC <= X"00" & d_i;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
               elsif (rdy_i = '1' and
               ELSIF (rdy_i = '1' and
                      zw_REG_OP = X"96") then
                      zw_REG_OP = X"69" and
                  sig_PC <= X"00" & d_i;
                      reg_F(3) = '1') THEN
                  zw_b1 <= d_alu_i;
                  sig_PC <= adr_nxt_pc_i;
               end if;
 
            when G17_10 =>
                  reg_F(7) <= zw_ALU(7);
               sig_PC <= d_i & zw_b1;
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
            when G17_2 =>
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
               if (rdy_i = '1') then
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
                  sig_PC <= X"00" & zw_b1;
                  (zw_ALU(0)));
               end if;
                  reg_F(0) <= zw_ALU4(4);
            when G17_3 =>
                  reg_sel_pc_in <= '0';
               if (rdy_i = '1') then
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               END IF;
 
            WHEN s553 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
               END IF;
            when G17_4 =>
            WHEN s555 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
                  zw_b3 <= d_alu_i;
                  zw_b3 <= d_alu_i;
               end if;
               END IF;
            when G17_5 =>
            WHEN s558 =>
               sig_PC <= zw_b3 & zw_b1;
               IF (rdy_i = '1') THEN
            when G17_6 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
                  sig_PC <= X"00" & zw_b1;
                  zw_b1 <= d_alu_i;
                  zw_b1 <= d_alu_i;
                  zw_b2(0) <= reg_0flag_i;
                  zw_b2(0) <= reg_0flag_i;
               end if;
               END IF;
            when G17_7 =>
            WHEN s560 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= X"00" & zw_b1;
                  zw_b3 <= d_alu_i;
               END IF;
               end if;
            WHEN s561 =>
            when G17_8 =>
               IF (rdy_i = '1') THEN
               if (rdy_i = '1') then
 
                  sig_PC <= X"00" & zw_b1;
                  sig_PC <= X"00" & zw_b1;
               end if;
               END IF;
            when G17_9 =>
            WHEN s563 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= X"00" & d_alu_i;
                  sig_PC <= X"00" & d_alu_i;
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               end if;
               END IF;
            when G17_e =>
            WHEN s564 =>
 
               IF (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '0') THEN
               sig_PC <= adr_pc_i;
               sig_PC <= adr_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
               reg_sel_pc_in <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_val <= "00";
               reg_sel_pc_val <= "00";
               reg_sel_sp_in <= '0';
               reg_sel_sp_in <= '0';
               reg_sel_sp_as <= '1';
               reg_sel_sp_as <= '1';
            when G18_1 =>
               ELSIF (rdy_i = '1' AND
               if (rdy_i = '1') then
                      zw_b2(0) = '0' and
                  sig_PC <= adr_sp_i;
                      reg_F(3) = '1') THEN
               end if;
                  sig_PC <= adr_pc_i;
            when G18_2 =>
 
               sig_PC <= adr_sp_i;
                  reg_F(7) <= zw_ALU(7);
            when G18_3 =>
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
               sig_PC <= adr_sp_i;
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
            when G18_4 =>
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
               sig_PC <= X"FFFE";
                  (zw_ALU(0)));
            when G18_5 =>
                  reg_F(0) <= zw_ALU4(4);
               if (rdy_i = '1') then
 
                  sig_PC <= X"FFFF";
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G18_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_F(2) <= '1';
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G19_1 =>
                  sig_PC <= zw_b3 & zw_b1;
               if (rdy_i = '1') then
               END IF;
 
            WHEN s565 =>
 
               IF (rdy_i = '1' and
 
                   reg_F(3) = '0') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1' and
            when G1_1 =>
                      reg_F(3) = '1') THEN
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU4(4);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G20_1 =>
            WHEN s566 =>
               if (rdy_i = '1' and
               IF (rdy_i = '1') THEN
                   zw_REG_OP = X"4C") then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"6C") then
 
                  sig_PC <= adr_nxt_pc_i;
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "00";
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G20_2 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  zw_b2 <= d_i;
 
               end if;
 
            when G20_3 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= zw_b2 & adr_pc_i(7 downto 0);
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G20_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               END IF;
 
            WHEN s266 =>
 
               IF (rdy_i = '1' and (
 
                   (reg_F(0) = '1' and zw_REG_OP = X"90") or
 
                   (reg_F(0) = '0' and zw_REG_OP = X"B0") or
 
                   (reg_F(1) = '0' and zw_REG_OP = X"F0") or
 
                   (reg_F(7) = '0' and zw_REG_OP = X"30") or
 
                   (reg_F(1) = '1' and zw_REG_OP = X"D0") or
 
                   (reg_F(7) = '1' and zw_REG_OP = X"10") or
 
                   (reg_F(6) = '1' and zw_REG_OP = X"50") or
 
                   (reg_F(6) = '0' and zw_REG_OP = X"70"))) THEN
 
                  sig_PC <= adr_nxt_pc_i;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G21_1 =>
                  sig_PC <= adr_nxt_pc_i;
               if (rdy_i = '1') then
                  reg_sel_pc_in <= '0';
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
                  reg_sel_pc_val <= "10";
               end if;
                  zw_b2 <= d_i;
            when G21_3 =>
               END IF;
               sig_PC <= adr_sp_i;
            WHEN s301 =>
            when G21_4 =>
               IF (rdy_i = '1' and
               sig_PC <= adr_pc_i;
                   zw_b3 = adr_nxt_pc_i (15 downto 8)) THEN
               reg_sel_pc_in <= '1';
                  sig_PC <= adr_nxt_pc_i;
               reg_sel_pc_val <= "11";
 
            when G21_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1 (7 downto 0);
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G22_1 =>
                  sig_PC <= zw_b3 & adr_nxt_pc_i (7 downto 0);
               if (rdy_i = '1') then
               END IF;
                  sig_PC <= adr_sp_i;
            WHEN s302 =>
               end if;
               IF (rdy_i = '1') THEN
            when G22_e =>
 
               sig_PC <= adr_pc_i;
               sig_PC <= adr_pc_i;
               reg_sel_pc_in <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_val <= "00";
               reg_sel_pc_val <= "00";
               reg_sel_sp_in <= '0';
               reg_sel_sp_in <= '0';
               reg_sel_sp_as <= '1';
               reg_sel_sp_as <= '1';
            when G23_1 =>
               END IF;
               if (rdy_i = '1') then
            WHEN RES =>
                  sig_PC <= adr_sp_i;
 
               end if;
 
            when G23_e =>
 
               sig_PC <= adr_pc_i;
 
               reg_sel_pc_in <= '0';
               reg_sel_pc_in <= '0';
               reg_sel_pc_val <= "00";
               reg_sel_pc_val <= "00";
               reg_sel_sp_in <= '0';
               sig_PC <= adr_nxt_pc_i;
               reg_sel_sp_as <= '1';
 
            when G24_2 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_sp_i;
 
               end if;
 
            when G24_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
 
 
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
            WHEN s511 =>
            when G25_2 =>
               IF (rdy_i = '1' and
               if (rdy_i = '1') then
                   zw_REG_OP = X"E5") THEN
                  sig_PC <= adr_sp_i;
                  sig_PC <= X"00" & d_i;
               end if;
               ELSIF (rdy_i = '1' and
            when G25_e =>
                      zw_REG_OP = X"E9" and
               if (rdy_i = '1') then
                      reg_F(3) = '0') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_nxt_pc_i;
                  reg_F <= d_i;
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1' and
            when G26_1 =>
                      zw_REG_OP = X"F5") THEN
               if (rdy_i = '1') then
                  sig_PC <= X"00" & d_i;
                  sig_PC <= adr_sp_i;
                  zw_b1 <= d_alu_i;
               end if;
               ELSIF (rdy_i = '1' and
            when G26_2 =>
                      zw_REG_OP = X"ED") THEN
               if (rdy_i = '1') then
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= adr_sp_i;
 
               end if;
 
            when G26_3 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_sp_i;
 
                  reg_F <= d_i;
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "11";
 
               end if;
 
            when G26_4 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
                  zw_b1 <= d_i;
               end if;
               ELSIF (rdy_i = '1' and
            when G26_e =>
                      zw_REG_OP = X"FD") THEN
               if (rdy_i = '1') then
                  sig_PC <= adr_nxt_pc_i;
                  sig_PC <= d_i & zw_b1;
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"F9") THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"F1") THEN
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"E1") THEN
 
                  sig_PC <= X"00" & d_i;
 
                  zw_b1 <= d_alu_i;
 
               ELSIF (rdy_i = '1' and
 
                      zw_REG_OP = X"E9" and
 
                      reg_F(3) = '1') THEN
 
                  sig_PC <= adr_nxt_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU2(4);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G27_1 =>
            WHEN s559 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= adr_sp_i;
                  sig_PC <= d_i & zw_b1;
               end if;
               END IF;
            when G27_2 =>
            WHEN s562 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= adr_sp_i;
 
               end if;
 
            when G27_3 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_sp_i;
 
                  zw_b1 <= d_i;
 
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "00";
 
               end if;
 
            when G27_4 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
                  sig_PC <= d_i & zw_b1;
               end if;
                  zw_b3 <= d_alu_i;
            when G27_e =>
               END IF;
               if (rdy_i = '1') then
            WHEN s567 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               END IF;
 
            WHEN s568 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
                  zw_b1 <= d_alu_i;
 
                  zw_b2(0) <= reg_0flag_i;
 
               END IF;
 
            WHEN s569 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s570 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & zw_b1;
 
               END IF;
 
            WHEN s571 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= d_i & zw_b1;
 
                  zw_b3 <= d_alu_i;
 
               END IF;
 
            WHEN s572 =>
 
               IF (rdy_i = '1') THEN
 
                  sig_PC <= X"00" & d_alu_i;
 
                  zw_b1 <= d_i;
 
               END IF;
 
            WHEN s573 =>
 
               IF (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '0') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
 
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU(8);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1' AND
            when G28_1 =>
                      zw_b2(0) = '0' and
               if (rdy_i = '1') then
                      reg_F(3) = '1') THEN
                  sig_PC <= adr_sp_i;
                  sig_PC <= adr_pc_i;
               end if;
 
            when G28_2 =>
                  reg_F(7) <= zw_ALU(7);
               sig_PC <= adr_sp_i;
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
            when G28_3 =>
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
               sig_PC <= adr_sp_i;
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
            when G28_4 =>
                  (zw_ALU(0)));
               sig_PC <= X"FFFE";
                  reg_F(0) <= zw_ALU2(4);
            when G28_5 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= X"FFFF";
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G28_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_F(2) <= '1';
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1') THEN
            when G29_1 =>
                  sig_PC <= zw_b3 & zw_b1;
               if (rdy_i = '1') then
               END IF;
                  sig_PC <= adr_sp_i;
            WHEN s574 =>
               end if;
               IF (rdy_i = '1' and
            when G29_2 =>
                   reg_F(3) = '0') THEN
               sig_PC <= adr_sp_i;
                  sig_PC <= adr_pc_i;
            when G29_3 =>
 
               sig_PC <= adr_sp_i;
                  reg_F(7) <= zw_ALU(7);
            when G29_4 =>
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
               sig_PC <= X"FFFA";
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
            when G29_5 =>
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
               if (rdy_i = '1') then
                  (zw_ALU(0)));
                  sig_PC <= X"FFFB";
                  reg_F(0) <= zw_ALU(8);
                  reg_sel_pc_in <= '1';
 
                  reg_sel_pc_val <= "11";
 
                  zw_b1 <= d_i;
 
               end if;
 
            when G29_e =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= d_i & zw_b1;
 
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               ELSIF (rdy_i = '1' and
            when G2_1 =>
                      reg_F(3) = '1') THEN
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
                  sig_PC <= adr_pc_i;
                  reg_F(0) <= '1';
 
 
                  reg_F(7) <= zw_ALU(7);
 
                  reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
 
                  reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
 
                  (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
 
                  (zw_ALU(0)));
 
                  reg_F(0) <= zw_ALU2(4);
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G30_1 =>
            WHEN s548 =>
 
               IF (rdy_i = '1') THEN
               sig_PC <= adr_sp_i;
               sig_PC <= adr_sp_i;
            when G30_2 =>
               END IF;
 
            WHEN s551 =>
               sig_PC <= adr_sp_i;
               sig_PC <= adr_sp_i;
               reg_sel_pc_in <= '1';
            WHEN s552 =>
               reg_sel_pc_val <= "00";
 
            when G30_3 =>
 
               sig_PC <= adr_sp_i;
               sig_PC <= adr_sp_i;
               reg_sel_pc_in <= '0';
            WHEN s575 =>
               reg_sel_pc_val <= "00";
               IF (rdy_i = '1') THEN
            when G30_4 =>
                  sig_PC <= X"FFFF";
               sig_PC <= adr_pc_i;
 
            when G30_5 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  zw_b1 <= d_i;
 
                  reg_sel_pc_in <= '1';
                  reg_sel_pc_in <= '1';
                  reg_sel_pc_val <= "11";
                  reg_sel_pc_val <= "11";
               end if;
                  reg_F(2) <= '1';
            when G30_e =>
                  zw_b1 <= d_i;
               if (rdy_i = '1') then
               END IF;
 
            WHEN s576 =>
 
               IF (NMI_i = '1') THEN
 
                  sig_PC <= X"FFFA";
 
               ELSE
 
                  sig_PC <= X"FFFE";
 
               END IF;
 
            WHEN s577 =>
 
               IF (rdy_i = '1') THEN
                  sig_PC  <= d_i & zw_b1;
                  sig_PC  <= d_i & zw_b1;
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_in <= '0';
                  reg_sel_pc_val <= "00";
                  reg_sel_pc_val <= "00";
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_in <= '0';
                  reg_sel_sp_as <= '1';
                  reg_sel_sp_as <= '1';
               end if;
               END IF;
            when G31_1 =>
            WHEN s578 =>
               if (rdy_i = '1') then
               IF (rdy_i = '1') THEN
                  sig_PC <= adr_pc_i;
                  sig_PC <= X"FFFB";
                  reg_F(0) <= q_a_i(7);
                  reg_sel_pc_in <= '1';
                  reg_F(7) <= reg_7flag_i;
                  reg_sel_pc_val <= "11";
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G32_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(0);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G33_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(7);
 
                  reg_F(0) <= q_a_i(7);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G34_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= q_a_i(0);
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G3_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(3) <= '1';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G4_1 =>
 
               sig_PC <= adr_pc_i;
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(2) <= '1';
                  reg_F(2) <= '1';
                  reg_sel_pc_in <= '0';
                  zw_b1 <= d_i;
                  reg_sel_pc_val <= "00";
               END IF;
                  reg_sel_sp_in <= '0';
            WHEN OTHERS =>
                  reg_sel_sp_as <= '1';
               NULL;
               end if;
         END CASE;
            when G5_1 =>
      END IF;
               if (rdy_i = '1') then
   END PROCESS clocked_proc;
                  sig_PC <= adr_pc_i;
 
                  reg_F(0) <= '0';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G6_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(3) <= '0';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G7_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(2) <= '0';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G8_1 =>
 
               if (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(6) <= '0';
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when G9_1 =>
 
               if (rdy_i = '1' and
 
                   zw_REG_OP = X"9A") then
 
                  sig_PC <= adr_pc_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               elsif (rdy_i = '1' and
 
                      zw_REG_OP = X"BA") then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               elsif (rdy_i = '1') then
 
                  sig_PC <= adr_pc_i;
 
                  reg_F(7) <= reg_7flag_i;
 
                  reg_F(1) <= reg_1flag_i;
 
                  reg_sel_pc_in <= '0';
 
                  reg_sel_pc_val <= "00";
 
                  reg_sel_sp_in <= '0';
 
                  reg_sel_sp_as <= '1';
 
               end if;
 
            when RES =>
 
               reg_sel_pc_in <= '0';
 
               reg_sel_pc_val <= "00";
 
               sig_PC <= adr_nxt_pc_i;
 
               reg_sel_pc_in <= '0';
 
               reg_sel_pc_val <= "00";
 
               reg_sel_sp_in <= '0';
 
               reg_sel_sp_as <= '1';
 
            when others =>
 
               null;
 
         end case;
 
      end if;
 
   end process clocked_proc;
 
 
 
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   nextstate_proc : process (
   nextstate_proc : PROCESS (
      adr_nxt_pc_i,
      adr_nxt_pc_i,
      current_state,
      current_state,
      d_i,
      d_i,
      irq_n_i,
      irq_n_i,
      nmi_i,
      nmi_i,
Line 1955... Line 1994...
      zw_REG_OP,
      zw_REG_OP,
      zw_b2,
      zw_b2,
      zw_b3
      zw_b3
   )
   )
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   begin
   BEGIN
      case current_state is
      CASE current_state IS
         when FETCH =>
         WHEN FETCH =>
            if ((nmi_i = '1') and (rdy_i = '1')) then
            IF ((nmi_i = '1') AND (rdy_i = '1')) THEN
               next_state <= G29_1;
               next_state <= s548;
            elsif ((irq_n_i = '0' and
            ELSIF ((irq_n_i = '0' and
                   reg_F(2) = '0') and (rdy_i = '1')) then
                   reg_F(2) = '0') AND (rdy_i = '1')) THEN
               next_state <= G28_1;
               next_state <= s548;
            elsif ((d_i = X"69" or
            ELSIF ((d_i = X"69" or
                   d_i = X"65" or
                   d_i = X"65" or
                   d_i = X"75" or
                   d_i = X"75" or
                   d_i = X"6D" or
                   d_i = X"6D" or
                   d_i = X"7D" or
                   d_i = X"7D" or
                   d_i = X"79" or
                   d_i = X"79" or
                   d_i = X"61" or
                   d_i = X"61" or
                   d_i = X"71") and (rdy_i = '1')) then
                   d_i = X"71") AND (rdy_i = '1')) THEN
               next_state <= G10_1;
               next_state <= s510;
            elsif ((d_i = X"06" or
            ELSIF ((d_i = X"06" or
                   d_i = X"16" or
                   d_i = X"16" or
                   d_i = X"0E" or
                   d_i = X"0E" or
                   d_i = X"1E") and (rdy_i = '1')) then
                   d_i = X"1E") AND (rdy_i = '1')) THEN
               next_state <= G11_1;
               next_state <= s403;
            elsif ((d_i = X"90" or
            ELSIF ((d_i = X"90" or
                   d_i = X"B0" or
                   d_i = X"B0" or
                   d_i = X"F0" or
                   d_i = X"F0" or
                   d_i = X"30" or
                   d_i = X"30" or
                   d_i = X"D0" or
                   d_i = X"D0" or
                   d_i = X"10" or
                   d_i = X"10" or
                   d_i = X"50" or
                   d_i = X"50" or
                   d_i = X"70") and (rdy_i = '1')) then
                   d_i = X"70") AND (rdy_i = '1')) THEN
               next_state <= G12_1;
               next_state <= s266;
            elsif ((d_i = X"24" or
            ELSIF ((d_i = X"24" or
                   d_i = X"2C") and (rdy_i = '1')) then
                   d_i = X"2C") AND (rdy_i = '1')) THEN
               next_state <= G13_1;
               next_state <= s351;
            elsif ((d_i = X"00") and (rdy_i = '1')) then
            ELSIF ((d_i = X"00") AND (rdy_i = '1')) THEN
               next_state <= G18_1;
               next_state <= s526;
            elsif ((d_i = X"18") and (rdy_i = '1')) then
            ELSIF ((d_i = X"18") AND (rdy_i = '1')) THEN
               next_state <= G5_1;
               next_state <= s12;
            elsif ((d_i = X"D8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"D8") AND (rdy_i = '1')) THEN
               next_state <= G6_1;
               next_state <= s16;
            elsif ((d_i = X"58") and (rdy_i = '1')) then
            ELSIF ((d_i = X"58") AND (rdy_i = '1')) THEN
               next_state <= G7_1;
               next_state <= s17;
            elsif ((d_i = X"B8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"B8") AND (rdy_i = '1')) THEN
               next_state <= G8_1;
               next_state <= s24;
            elsif ((d_i = X"E0" or
            ELSIF ((d_i = X"E0" or
                   d_i = X"E4" or
                   d_i = X"E4" or
                   d_i = X"EC") and (rdy_i = '1')) then
                   d_i = X"EC") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"C0" or
            ELSIF ((d_i = X"C0" or
                   d_i = X"C4" or
                   d_i = X"C4" or
                   d_i = X"CC") and (rdy_i = '1')) then
                   d_i = X"CC") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"C6" or
            ELSIF ((d_i = X"C6" or
                   d_i = X"D6" or
                   d_i = X"D6" or
                   d_i = X"CE" or
                   d_i = X"CE" or
                   d_i = X"DE") and (rdy_i = '1')) then
                   d_i = X"DE") AND (rdy_i = '1')) THEN
               next_state <= G14_1;
               next_state <= s226;
            elsif ((d_i = X"CA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"CA") AND (rdy_i = '1')) THEN
               next_state <= G19_1;
               next_state <= s25;
            elsif ((d_i = X"88") and (rdy_i = '1')) then
            ELSIF ((d_i = X"88") AND (rdy_i = '1')) THEN
               next_state <= G19_1;
               next_state <= s25;
            elsif ((d_i = X"49" or
            ELSIF ((d_i = X"49" or
                   d_i = X"45" or
                   d_i = X"45" or
                   d_i = X"55" or
                   d_i = X"55" or
                   d_i = X"4D" or
                   d_i = X"4D" or
                   d_i = X"5D" or
                   d_i = X"5D" or
                   d_i = X"59" or
                   d_i = X"59" or
Line 2047... Line 2086...
                   d_i = X"D5" or
                   d_i = X"D5" or
                   d_i = X"CD" or
                   d_i = X"CD" or
                   d_i = X"DD" or
                   d_i = X"DD" or
                   d_i = X"D9" or
                   d_i = X"D9" or
                   d_i = X"C1" or
                   d_i = X"C1" or
                   d_i = X"D1") and (rdy_i = '1')) then
                   d_i = X"D1") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"E6" or
            ELSIF ((d_i = X"E6" or
                   d_i = X"F6" or
                   d_i = X"F6" or
                   d_i = X"EE" or
                   d_i = X"EE" or
                   d_i = X"FE") and (rdy_i = '1')) then
                   d_i = X"FE") AND (rdy_i = '1')) THEN
               next_state <= G14_1;
               next_state <= s226;
            elsif ((d_i = X"E8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"E8") AND (rdy_i = '1')) THEN
               next_state <= G19_1;
               next_state <= s25;
            elsif ((d_i = X"C8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"C8") AND (rdy_i = '1')) THEN
               next_state <= G19_1;
               next_state <= s25;
            elsif ((d_i = X"4C" or
            ELSIF ((d_i = X"4C" or
                   d_i = X"6C") and (rdy_i = '1')) then
                   d_i = X"6C") AND (rdy_i = '1')) THEN
               next_state <= G20_1;
               next_state <= s271;
            elsif ((d_i = X"20") and (rdy_i = '1')) then
            ELSIF ((d_i = X"20") AND (rdy_i = '1')) THEN
               next_state <= G21_1;
               next_state <= s397;
            elsif ((d_i = X"A9" or
            ELSIF ((d_i = X"A9" or
                   d_i = X"A5" or
                   d_i = X"A5" or
                   d_i = X"B5" or
                   d_i = X"B5" or
                   d_i = X"AD" or
                   d_i = X"AD" or
                   d_i = X"BD" or
                   d_i = X"BD" or
                   d_i = X"B9" or
                   d_i = X"B9" or
                   d_i = X"A1" or
                   d_i = X"A1" or
                   d_i = X"B1") and (rdy_i = '1')) then
                   d_i = X"B1") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"A2" or
            ELSIF ((d_i = X"A2" or
                   d_i = X"A6" or
                   d_i = X"A6" or
                   d_i = X"B6" or
                   d_i = X"B6" or
                   d_i = X"AE" or
                   d_i = X"AE" or
                   d_i = X"BE") and (rdy_i = '1')) then
                   d_i = X"BE") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"A0" or
            ELSIF ((d_i = X"A0" or
                   d_i = X"A4" or
                   d_i = X"A4" or
                   d_i = X"B4" or
                   d_i = X"B4" or
                   d_i = X"AC" or
                   d_i = X"AC" or
                   d_i = X"BC") and (rdy_i = '1')) then
                   d_i = X"BC") AND (rdy_i = '1')) THEN
               next_state <= G15_1;
               next_state <= s201;
            elsif ((d_i = X"46" or
            ELSIF ((d_i = X"46" or
                   d_i = X"56" or
                   d_i = X"56" or
                   d_i = X"4E" or
                   d_i = X"4E" or
                   d_i = X"5E") and (rdy_i = '1')) then
                   d_i = X"5E") AND (rdy_i = '1')) THEN
               next_state <= G11_1;
               next_state <= s403;
            elsif ((d_i = X"EA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"EA") AND (rdy_i = '1')) THEN
               next_state <= G1_1;
               next_state <= s1;
            elsif ((d_i = X"48") and (rdy_i = '1')) then
            ELSIF ((d_i = X"48") AND (rdy_i = '1')) THEN
               next_state <= G22_1;
               next_state <= s377;
            elsif ((d_i = X"08") and (rdy_i = '1')) then
            ELSIF ((d_i = X"08") AND (rdy_i = '1')) THEN
               next_state <= G23_1;
               next_state <= s378;
            elsif ((d_i = X"68") and (rdy_i = '1')) then
            ELSIF ((d_i = X"68") AND (rdy_i = '1')) THEN
               next_state <= G24_1;
               next_state <= s379;
            elsif ((d_i = X"28") and (rdy_i = '1')) then
            ELSIF ((d_i = X"28") AND (rdy_i = '1')) THEN
               next_state <= G25_1;
               next_state <= s380;
            elsif ((d_i = X"26" or
            ELSIF ((d_i = X"26" or
                   d_i = X"36" or
                   d_i = X"36" or
                   d_i = X"2E" or
                   d_i = X"2E" or
                   d_i = X"3E") and (rdy_i = '1')) then
                   d_i = X"3E") AND (rdy_i = '1')) THEN
               next_state <= G11_1;
               next_state <= s403;
            elsif ((d_i = X"66" or
            ELSIF ((d_i = X"66" or
                   d_i = X"76" or
                   d_i = X"76" or
                   d_i = X"6E" or
                   d_i = X"6E" or
                   d_i = X"7E") and (rdy_i = '1')) then
                   d_i = X"7E") AND (rdy_i = '1')) THEN
               next_state <= G11_1;
               next_state <= s403;
            elsif ((d_i = X"40") and (rdy_i = '1')) then
            ELSIF ((d_i = X"40") AND (rdy_i = '1')) THEN
               next_state <= G26_1;
               next_state <= s387;
            elsif ((d_i = X"60") and (rdy_i = '1')) then
            ELSIF ((d_i = X"60") AND (rdy_i = '1')) THEN
               next_state <= G27_1;
               next_state <= s390;
            elsif ((d_i = X"E9" or
            ELSIF ((d_i = X"E9" or
                   d_i = X"E5" or
                   d_i = X"E5" or
                   d_i = X"F5" or
                   d_i = X"F5" or
                   d_i = X"ED" or
                   d_i = X"ED" or
                   d_i = X"FD" or
                   d_i = X"FD" or
                   d_i = X"F9" or
                   d_i = X"F9" or
                   d_i = X"E1" or
                   d_i = X"E1" or
                   d_i = X"F1") and (rdy_i = '1')) then
                   d_i = X"F1") AND (rdy_i = '1')) THEN
               next_state <= G16_1;
               next_state <= s511;
            elsif ((d_i = X"38") and (rdy_i = '1')) then
            ELSIF ((d_i = X"38") AND (rdy_i = '1')) THEN
               next_state <= G2_1;
               next_state <= s2;
            elsif ((d_i = X"F8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"F8") AND (rdy_i = '1')) THEN
               next_state <= G3_1;
               next_state <= s5;
            elsif ((d_i = X"78") and (rdy_i = '1')) then
            ELSIF ((d_i = X"78") AND (rdy_i = '1')) THEN
               next_state <= G4_1;
               next_state <= s3;
            elsif ((d_i = X"85" or
            ELSIF ((d_i = X"85" or
                   d_i = X"95" or
                   d_i = X"95" or
                   d_i = X"8D" or
                   d_i = X"8D" or
                   d_i = X"9D" or
                   d_i = X"9D" or
                   d_i = X"99" or
                   d_i = X"99" or
                   d_i = X"81" or
                   d_i = X"81" or
                   d_i = X"91") and (rdy_i = '1')) then
                   d_i = X"91") AND (rdy_i = '1')) THEN
               next_state <= G17_1;
               next_state <= s177;
            elsif ((d_i = X"86" or
            ELSIF ((d_i = X"86" or
                   d_i = X"96" or
                   d_i = X"96" or
                   d_i = X"8E") and (rdy_i = '1')) then
                   d_i = X"8E") AND (rdy_i = '1')) THEN
               next_state <= G17_1;
               next_state <= s177;
            elsif ((d_i = X"84" or
            ELSIF ((d_i = X"84" or
                   d_i = X"94" or
                   d_i = X"94" or
                   d_i = X"8C") and (rdy_i = '1')) then
                   d_i = X"8C") AND (rdy_i = '1')) THEN
               next_state <= G17_1;
               next_state <= s177;
            elsif ((d_i = X"AA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"AA") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif ((d_i = X"0A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"0A") AND (rdy_i = '1')) THEN
               next_state <= G31_1;
               next_state <= s404;
            elsif ((d_i = X"4A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"4A") AND (rdy_i = '1')) THEN
               next_state <= G32_1;
               next_state <= s556;
            elsif ((d_i = X"2A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"2A") AND (rdy_i = '1')) THEN
               next_state <= G33_1;
               next_state <= s557;
            elsif ((d_i = X"6A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"6A") AND (rdy_i = '1')) THEN
               next_state <= G34_1;
               next_state <= s579;
            elsif ((d_i = X"A8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"A8") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif ((d_i = X"98") and (rdy_i = '1')) then
            ELSIF ((d_i = X"98") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif ((d_i = X"BA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"BA") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif ((d_i = X"8A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"8A") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif ((d_i = X"9A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"9A") AND (rdy_i = '1')) THEN
               next_state <= G9_1;
               next_state <= s4;
            elsif (rdy_i = '1') then
            ELSIF (rdy_i = '1') THEN
               next_state <= G1_1;
               next_state <= s1;
            else
            ELSE
               next_state <= FETCH;
               next_state <= FETCH;
            end if;
            END IF;
         when G10_1 =>
         WHEN s1 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                zw_REG_OP = X"65") then
               next_state <= FETCH;
               next_state <= G10_e2;
            ELSE
            elsif (rdy_i = '1' and
               next_state <= s1;
                   zw_REG_OP = X"69" and
            END IF;
                   reg_F(3) = '0') then
         WHEN s2 =>
               next_state <= FETCH;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= FETCH;
                   zw_REG_OP = X"75") then
            ELSE
               next_state <= G10_2;
               next_state <= s2;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"6D") then
         WHEN s5 =>
               next_state <= G10_3;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= FETCH;
                   zw_REG_OP = X"7D") then
            ELSE
               next_state <= G10_4;
               next_state <= s5;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"79") then
         WHEN s3 =>
               next_state <= G10_4;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= FETCH;
                   zw_REG_OP = X"71") then
            ELSE
               next_state <= G10_5;
               next_state <= s3;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"61") then
         WHEN s4 =>
               next_state <= G10_7;
            IF (rdy_i = '1' and
            elsif (rdy_i = '1' and
                zw_REG_OP = X"9A") THEN
                   zw_REG_OP = X"69" and
               next_state <= FETCH;
                   reg_F(3) = '1') then
            ELSIF (rdy_i = '1' and
               next_state <= FETCH;
                   zw_REG_OP = X"BA") THEN
            else
               next_state <= FETCH;
               next_state <= G10_1;
            ELSIF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_2 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s4;
               next_state <= G10_e2;
            END IF;
            else
         WHEN s12 =>
               next_state <= G10_2;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_3 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s12;
               next_state <= G10_e2;
            END IF;
            else
         WHEN s16 =>
               next_state <= G10_3;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_4 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s16;
               next_state <= G10_e1;
            END IF;
            else
         WHEN s17 =>
               next_state <= G10_4;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_5 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s17;
               next_state <= G10_6;
            END IF;
            else
         WHEN s24 =>
               next_state <= G10_5;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_6 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s24;
               next_state <= G10_e1;
            END IF;
            else
         WHEN s25 =>
               next_state <= G10_6;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G10_7 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s25;
               next_state <= G10_e3;
            END IF;
            else
         WHEN s271 =>
               next_state <= G10_7;
            IF (rdy_i = '1' and
            end if;
                zw_REG_OP = X"4C") THEN
         when G10_e1 =>
               next_state <= s307;
            if (rdy_i = '1' AND
            ELSIF (rdy_i = '1' and
                zw_b2(0) = '0' and
                   zw_REG_OP = X"6C") THEN
                reg_F(3) = '0') then
               next_state <= s273;
               next_state <= FETCH;
            ELSE
            elsif (rdy_i = '1' AND
               next_state <= s271;
                   zw_b2(0) = '0' and
            END IF;
                   reg_F(3) = '1') then
         WHEN s273 =>
               next_state <= FETCH;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1') then
               next_state <= s304;
               next_state <= G10_e2;
            ELSE
            else
               next_state <= s273;
               next_state <= G10_e1;
            END IF;
            end if;
         WHEN s304 =>
         when G10_e2 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1' and
               next_state <= s307;
                reg_F(3) = '0') then
            ELSE
               next_state <= FETCH;
               next_state <= s304;
            elsif (rdy_i = '1' and
            END IF;
                   reg_F(3) = '1') then
         WHEN s307 =>
               next_state <= FETCH;
            IF (rdy_i = '1') THEN
            else
               next_state <= FETCH;
               next_state <= G10_e2;
            ELSE
            end if;
               next_state <= s307;
         when G10_e3 =>
            END IF;
            if (rdy_i = '1') then
         WHEN s177 =>
               next_state <= G10_3;
            IF (rdy_i = '1' and
            else
                (zw_REG_OP = X"85" OR
               next_state <= G10_e3;
                zw_REG_OP = X"86" OR
            end if;
                zw_REG_OP = X"84")) THEN
         when G11_1 =>
               next_state <= s184;
            if (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                (zw_REG_OP = X"1E" or
                   (zw_REG_OP = X"95" OR
                zw_REG_OP = X"7E" or
                   zw_REG_OP = X"94")) THEN
                zw_REG_OP = X"3E" or
               next_state <= s185;
                zw_REG_OP = X"5E")) then
            ELSIF (rdy_i = '1' and
               next_state <= G11_6;
                   (zw_REG_OP = X"8D" OR
            elsif (rdy_i = '1' and
                   zw_REG_OP = X"8E" OR
                   (zw_REG_OP = X"06" or
                   zw_REG_OP = X"8C")) THEN
                   zw_REG_OP = X"66" or
               next_state <= s183;
                   zw_REG_OP = X"26" or
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"46")) then
                   zw_REG_OP = X"9D") THEN
               next_state <= G11_3;
               next_state <= s182;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"16" or
                   zw_REG_OP = X"99") THEN
                   zw_REG_OP = X"76" or
               next_state <= s180;
                   zw_REG_OP = X"36" or
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"56")) then
                   zw_REG_OP = X"91") THEN
               next_state <= G11_2;
               next_state <= s181;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"0E" or
                   zw_REG_OP = X"81") THEN
                   zw_REG_OP = X"6E" or
               next_state <= s186;
                   zw_REG_OP = X"2E" or
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"4E")) then
                   zw_REG_OP = X"96") THEN
               next_state <= G11_5;
               next_state <= s185;
            else
            ELSE
               next_state <= G11_1;
               next_state <= s177;
            end if;
            END IF;
         when G11_2 =>
         WHEN s180 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G11_3;
               next_state <= s191;
            else
            ELSE
               next_state <= G11_2;
               next_state <= s180;
            end if;
            END IF;
         when G11_3 =>
         WHEN s181 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G11_4;
               next_state <= s189;
            else
            ELSE
               next_state <= G11_3;
               next_state <= s181;
            end if;
            END IF;
         when G11_4 =>
         WHEN s182 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                (zw_REG_OP = X"06" or
               next_state <= s191;
                zw_REG_OP = X"16" or
            ELSE
                zw_REG_OP = X"0E" or
               next_state <= s182;
                zw_REG_OP = X"1E")) then
            END IF;
               next_state <= G11_e;
         WHEN s183 =>
            elsif (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                   (zw_REG_OP = X"46" or
               next_state <= s187;
                   zw_REG_OP = X"56" or
            ELSE
                   zw_REG_OP = X"4E" or
               next_state <= s183;
                   zw_REG_OP = X"5E")) then
            END IF;
               next_state <= G11_e;
         WHEN s184 =>
            elsif (rdy_i = '1' and
            next_state <= FETCH;
                   (zw_REG_OP = X"26" or
         WHEN s185 =>
                   zw_REG_OP = X"36" or
            IF (rdy_i = '1') THEN
                   zw_REG_OP = X"2E" or
               next_state <= s190;
                   zw_REG_OP = X"3E")) then
            ELSE
               next_state <= G11_e;
               next_state <= s185;
            elsif (rdy_i = '1' and
            END IF;
                   (zw_REG_OP = X"66" or
         WHEN s186 =>
                   zw_REG_OP = X"76" or
            IF (rdy_i = '1') THEN
                   zw_REG_OP = X"6E" or
               next_state <= s188;
                   zw_REG_OP = X"7E")) then
            ELSE
               next_state <= G11_e;
               next_state <= s186;
            else
            END IF;
               next_state <= G11_4;
         WHEN s187 =>
            end if;
            next_state <= FETCH;
         when G11_5 =>
         WHEN s188 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G11_3;
               next_state <= s192;
            else
            ELSE
               next_state <= G11_5;
               next_state <= s188;
            end if;
            END IF;
         when G11_6 =>
         WHEN s189 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G11_7;
               next_state <= s191;
            else
            ELSE
               next_state <= G11_6;
               next_state <= s189;
            end if;
            END IF;
         when G11_7 =>
         WHEN s190 =>
            if (rdy_i = '1') then
            next_state <= FETCH;
               next_state <= G11_3;
         WHEN s191 =>
            else
            next_state <= s193;
               next_state <= G11_7;
         WHEN s192 =>
            end if;
            next_state <= s193;
         when G11_e =>
         WHEN s193 =>
            next_state <= FETCH;
            next_state <= FETCH;
         when G12_1 =>
         WHEN s377 =>
            if (rdy_i = '1' and (
            IF (rdy_i = '1') THEN
                (reg_F(0) = '1' and zw_REG_OP = X"90") or
               next_state <= s381;
                (reg_F(0) = '0' and zw_REG_OP = X"B0") or
            ELSE
                (reg_F(1) = '0' and zw_REG_OP = X"F0") or
               next_state <= s377;
                (reg_F(7) = '0' and zw_REG_OP = X"30") or
            END IF;
                (reg_F(1) = '1' and zw_REG_OP = X"D0") or
         WHEN s381 =>
                (reg_F(7) = '1' and zw_REG_OP = X"10") or
            next_state <= FETCH;
                (reg_F(6) = '1' and zw_REG_OP = X"50") or
         WHEN s378 =>
                (reg_F(6) = '0' and zw_REG_OP = X"70"))) then
            IF (rdy_i = '1') THEN
               next_state <= FETCH;
               next_state <= s382;
            elsif (rdy_i = '1') then
            ELSE
               next_state <= G12_e1;
               next_state <= s378;
            else
            END IF;
               next_state <= G12_1;
         WHEN s382 =>
            end if;
            next_state <= FETCH;
         when G12_e1 =>
         WHEN s379 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                zw_b3 = adr_nxt_pc_i (15 downto 8)) then
               next_state <= s383;
               next_state <= FETCH;
            ELSE
            elsif (rdy_i = '1') then
               next_state <= s379;
               next_state <= G12_e2;
            END IF;
            else
         WHEN s383 =>
               next_state <= G12_e1;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s384;
         when G12_e2 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s383;
               next_state <= FETCH;
            END IF;
            else
         WHEN s384 =>
               next_state <= G12_e2;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G13_1 =>
            ELSE
            if (rdy_i = '1' and
               next_state <= s384;
                zw_REG_OP = X"24") then
            END IF;
               next_state <= G13_e;
         WHEN s380 =>
            elsif (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                   zw_REG_OP = X"2C") then
               next_state <= s385;
               next_state <= G13_2;
            ELSE
            else
               next_state <= s380;
               next_state <= G13_1;
            END IF;
            end if;
         WHEN s385 =>
         when G13_2 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1') then
               next_state <= s386;
               next_state <= G13_e;
            ELSE
            else
               next_state <= s385;
               next_state <= G13_2;
            END IF;
            end if;
         WHEN s386 =>
         when G13_e =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1') then
               next_state <= FETCH;
               next_state <= FETCH;
            ELSE
            else
               next_state <= s386;
               next_state <= G13_e;
            END IF;
            end if;
         WHEN s387 =>
         when G14_1 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1' and
               next_state <= s388;
                (zw_REG_OP = X"C6" OR
            ELSE
                zw_REG_OP = X"E6")) then
               next_state <= s387;
               next_state <= G14_3;
            END IF;
            elsif (rdy_i = '1' and
         WHEN s388 =>
                   (zw_REG_OP = X"D6" OR
            IF (rdy_i = '1') THEN
                   zw_REG_OP = X"F6")) then
               next_state <= s389;
               next_state <= G14_2;
            ELSE
            elsif (rdy_i = '1' and
               next_state <= s388;
                   (zw_REG_OP = X"CE" OR
            END IF;
                   zw_REG_OP = X"EE")) then
         WHEN s389 =>
               next_state <= G14_5;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= s391;
                   (zw_REG_OP = X"DE" OR
            ELSE
                   zw_REG_OP = X"FE")) then
               next_state <= s389;
               next_state <= G14_6;
            END IF;
            else
         WHEN s391 =>
               next_state <= G14_1;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s392;
         when G14_2 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s391;
               next_state <= G14_3;
            END IF;
            else
         WHEN s392 =>
               next_state <= G14_2;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G14_3 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s392;
               next_state <= G14_4;
            END IF;
            else
         WHEN s390 =>
               next_state <= G14_3;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s393;
         when G14_4 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s390;
               next_state <= G14_e;
            END IF;
            else
         WHEN s393 =>
               next_state <= G14_4;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s394;
         when G14_5 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s393;
               next_state <= G14_3;
            END IF;
            else
         WHEN s394 =>
               next_state <= G14_5;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s395;
         when G14_6 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s394;
               next_state <= G14_7;
            END IF;
            else
         WHEN s395 =>
               next_state <= G14_6;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= s396;
         when G14_7 =>
            ELSE
            if (rdy_i = '1') then
               next_state <= s395;
               next_state <= G14_3;
            END IF;
            else
         WHEN s396 =>
               next_state <= G14_7;
            IF (rdy_i = '1') THEN
            end if;
               next_state <= FETCH;
         when G14_e =>
            ELSE
            next_state <= FETCH;
               next_state <= s396;
         when G15_1 =>
            END IF;
            if (rdy_i = '1' and
         WHEN s397 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s398;
 
            ELSE
 
               next_state <= s397;
 
            END IF;
 
         WHEN s398 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s399;
 
            ELSE
 
               next_state <= s398;
 
            END IF;
 
         WHEN s399 =>
 
            next_state <= s400;
 
         WHEN s400 =>
 
            next_state <= s401;
 
         WHEN s401 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s401;
 
            END IF;
 
         WHEN s526 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s527;
 
            ELSE
 
               next_state <= s526;
 
            END IF;
 
         WHEN s527 =>
 
            next_state <= s528;
 
         WHEN s528 =>
 
            next_state <= s529;
 
         WHEN s529 =>
 
            next_state <= s531;
 
         WHEN s530 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s530;
 
            END IF;
 
         WHEN s531 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s530;
 
            ELSE
 
               next_state <= s531;
 
            END IF;
 
         WHEN s544 =>
 
            next_state <= s550;
 
         WHEN s545 =>
 
            next_state <= s546;
 
         WHEN s546 =>
 
            next_state <= s547;
 
         WHEN s547 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s549;
 
            ELSE
 
               next_state <= s547;
 
            END IF;
 
         WHEN s549 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s549;
 
            END IF;
 
         WHEN s550 =>
 
            next_state <= s545;
 
         WHEN s404 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s404;
 
            END IF;
 
         WHEN s556 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s556;
 
            END IF;
 
         WHEN s557 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s557;
 
            END IF;
 
         WHEN s579 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s579;
 
            END IF;
 
         WHEN s201 =>
 
            IF (rdy_i = '1' and
                (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
                (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
                zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
                zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
                zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
                zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
                zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
                zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) THEN
               next_state <= G15_e2;
               next_state <= s224;
            elsif ((rdy_i = '1' and
            ELSIF ((rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' and
            ELSIF ((rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' and
            ELSIF ((rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' and
            ELSIF ((rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"B5" OR
                   (zw_REG_OP = X"B5" OR
                   zw_REG_OP = X"B4" OR
                   zw_REG_OP = X"B4" OR
                   zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                   zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                   zw_REG_OP = X"35" OR
                   zw_REG_OP = X"35" OR
                   zw_REG_OP = X"D5")) then
                   zw_REG_OP = X"D5")) THEN
               next_state <= G15_2;
               next_state <= s217;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"AD" OR
                   (zw_REG_OP = X"AD" OR
                   zw_REG_OP = X"AE" OR
                   zw_REG_OP = X"AE" OR
                   zw_REG_OP = X"AC" OR
                   zw_REG_OP = X"AC" OR
                   zw_REG_OP = X"4D" OR
                   zw_REG_OP = X"4D" OR
                   zw_REG_OP = X"0D" OR
                   zw_REG_OP = X"0D" OR
                   zw_REG_OP = X"2D" OR
                   zw_REG_OP = X"2D" OR
                   zw_REG_OP = X"CD" OR
                   zw_REG_OP = X"CD" OR
                   zw_REG_OP = X"EC" OR
                   zw_REG_OP = X"EC" OR
                   zw_REG_OP = X"CC")) then
                   zw_REG_OP = X"CC")) THEN
               next_state <= G15_3;
               next_state <= s202;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"BD" OR
                   (zw_REG_OP = X"BD" OR
                   zw_REG_OP = X"BC" OR
                   zw_REG_OP = X"BC" OR
                   zw_REG_OP = X"5D" OR
                   zw_REG_OP = X"5D" OR
                   zw_REG_OP = X"1D" OR
                   zw_REG_OP = X"1D" OR
                   zw_REG_OP = X"3D" OR
                   zw_REG_OP = X"3D" OR
                   zw_REG_OP = X"DD")) then
                   zw_REG_OP = X"DD")) THEN
               next_state <= G15_4;
               next_state <= s210;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"B9" OR
                   (zw_REG_OP = X"B9" OR
                   zw_REG_OP = X"BE" OR
                   zw_REG_OP = X"BE" OR
                   zw_REG_OP = X"59" OR
                   zw_REG_OP = X"59" OR
                   zw_REG_OP = X"19" OR
                   zw_REG_OP = X"19" OR
                   zw_REG_OP = X"39" OR
                   zw_REG_OP = X"39" OR
                   zw_REG_OP = X"D9")) then
                   zw_REG_OP = X"D9")) THEN
               next_state <= G15_4;
               next_state <= s211;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"B1" OR
                   (zw_REG_OP = X"B1" OR
                   zw_REG_OP = X"51" OR
                   zw_REG_OP = X"51" OR
                   zw_REG_OP = X"11" OR
                   zw_REG_OP = X"11" OR
                   zw_REG_OP = X"31" OR
                   zw_REG_OP = X"31" OR
                   zw_REG_OP = X"D1")) then
                   zw_REG_OP = X"D1")) THEN
               next_state <= G15_5;
               next_state <= s215;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"A1" OR
                   (zw_REG_OP = X"A1" OR
                   zw_REG_OP = X"41" OR
                   zw_REG_OP = X"41" OR
                   zw_REG_OP = X"01" OR
                   zw_REG_OP = X"01" OR
                   zw_REG_OP = X"21" OR
                   zw_REG_OP = X"21" OR
                   zw_REG_OP = X"C1")) then
                   zw_REG_OP = X"C1")) THEN
               next_state <= G15_7;
               next_state <= s218;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"B6") then
                   zw_REG_OP = X"B6") THEN
               next_state <= G15_2;
               next_state <= s217;
            else
            ELSE
               next_state <= G15_1;
               next_state <= s201;
            end if;
            END IF;
         when G15_2 =>
         WHEN s202 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_e2;
               next_state <= s224;
            else
            ELSE
               next_state <= G15_2;
               next_state <= s202;
            end if;
            END IF;
         when G15_3 =>
         WHEN s210 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_e2;
               next_state <= s225;
            else
            ELSE
               next_state <= G15_3;
               next_state <= s210;
            end if;
            END IF;
         when G15_4 =>
         WHEN s211 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_e1;
               next_state <= s225;
            else
            ELSE
               next_state <= G15_4;
               next_state <= s211;
            end if;
            END IF;
         when G15_5 =>
         WHEN s215 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_6;
               next_state <= s223;
            else
            ELSE
               next_state <= G15_5;
               next_state <= s215;
            end if;
            END IF;
         when G15_6 =>
         WHEN s217 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_e1;
               next_state <= s224;
            else
            ELSE
               next_state <= G15_6;
               next_state <= s217;
            end if;
            END IF;
         when G15_7 =>
         WHEN s218 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G15_e3;
               next_state <= s222;
            else
            ELSE
               next_state <= G15_7;
               next_state <= s218;
            end if;
            END IF;
         when G15_e1 =>
         WHEN s222 =>
            if ((rdy_i = '1' AND
            IF (rdy_i = '1') THEN
                zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
               next_state <= s202;
 
            ELSE
 
               next_state <= s222;
 
            END IF;
 
         WHEN s223 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s225;
 
            ELSE
 
               next_state <= s223;
 
            END IF;
 
         WHEN s224 =>
 
            IF ((rdy_i = '1') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' AND
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
 
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' AND
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
 
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1' AND
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
 
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' AND
            ELSIF (rdy_i = '1') THEN
                   zw_b2(0) = '0') then
 
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1') then
            ELSE
               next_state <= G15_e2;
               next_state <= s224;
            else
            END IF;
               next_state <= G15_e1;
         WHEN s225 =>
            end if;
            IF ((rdy_i = '1' AND
         when G15_e2 =>
                zw_b2(0) = '0') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
            if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
 
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
            ELSIF ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
            ELSIF ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
            ELSIF ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
 
               next_state <= FETCH;
 
            ELSIF (rdy_i = '1' AND
 
                   zw_b2(0) = '0') THEN
 
               next_state <= FETCH;
 
            ELSIF (rdy_i = '1') THEN
 
               next_state <= s224;
 
            ELSE
 
               next_state <= s225;
 
            END IF;
 
         WHEN s226 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"C6" OR
 
                zw_REG_OP = X"E6")) THEN
 
               next_state <= s343;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"D6" OR
 
                   zw_REG_OP = X"F6")) THEN
 
               next_state <= s247;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"CE" OR
 
                   zw_REG_OP = X"EE")) THEN
 
               next_state <= s243;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"DE" OR
 
                   zw_REG_OP = X"FE")) THEN
 
               next_state <= s244;
 
            ELSE
 
               next_state <= s226;
 
            END IF;
 
         WHEN s243 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s343;
 
            ELSE
 
               next_state <= s243;
 
            END IF;
 
         WHEN s244 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s344;
 
            ELSE
 
               next_state <= s244;
 
            END IF;
 
         WHEN s247 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s343;
 
            ELSE
 
               next_state <= s247;
 
            END IF;
 
         WHEN s344 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s343;
 
            ELSE
 
               next_state <= s344;
 
            END IF;
 
         WHEN s343 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s250;
 
            ELSE
 
               next_state <= s343;
 
            END IF;
 
         WHEN s250 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s251;
 
            ELSE
 
               next_state <= s250;
 
            END IF;
 
         WHEN s251 =>
 
            next_state <= FETCH;
 
         WHEN s351 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"24") THEN
 
               next_state <= s361;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"2C") THEN
 
               next_state <= s360;
 
            ELSE
 
               next_state <= s351;
 
            END IF;
 
         WHEN s361 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s361;
 
            END IF;
 
         WHEN s360 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s361;
 
            ELSE
 
               next_state <= s360;
 
            END IF;
 
         WHEN s403 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"1E" or
 
                zw_REG_OP = X"7E" or
 
                zw_REG_OP = X"3E" or
 
                zw_REG_OP = X"5E")) THEN
 
               next_state <= s407;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"06" or
 
                   zw_REG_OP = X"66" or
 
                   zw_REG_OP = X"26" or
 
                   zw_REG_OP = X"46")) THEN
 
               next_state <= s413;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"16" or
 
                   zw_REG_OP = X"76" or
 
                   zw_REG_OP = X"36" or
 
                   zw_REG_OP = X"56")) THEN
 
               next_state <= s409;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"0E" or
 
                   zw_REG_OP = X"6E" or
 
                   zw_REG_OP = X"2E" or
 
                   zw_REG_OP = X"4E")) THEN
 
               next_state <= s406;
 
            ELSE
 
               next_state <= s403;
 
            END IF;
 
         WHEN s406 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s413;
 
            ELSE
 
               next_state <= s406;
 
            END IF;
 
         WHEN s407 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s412;
 
            ELSE
 
               next_state <= s407;
 
            END IF;
 
         WHEN s409 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s413;
 
            ELSE
 
               next_state <= s409;
 
            END IF;
 
         WHEN s412 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s413;
 
            ELSE
 
               next_state <= s412;
 
            END IF;
 
         WHEN s413 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s416;
 
            ELSE
 
               next_state <= s413;
 
            END IF;
 
         WHEN s416 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"06" or
 
                zw_REG_OP = X"16" or
 
                zw_REG_OP = X"0E" or
 
                zw_REG_OP = X"1E")) THEN
 
               next_state <= s418;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"46" or
 
                   zw_REG_OP = X"56" or
 
                   zw_REG_OP = X"4E" or
 
                   zw_REG_OP = X"5E")) THEN
 
               next_state <= s418;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"26" or
 
                   zw_REG_OP = X"36" or
 
                   zw_REG_OP = X"2E" or
 
                   zw_REG_OP = X"3E")) THEN
 
               next_state <= s418;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"66" or
 
                   zw_REG_OP = X"76" or
 
                   zw_REG_OP = X"6E" or
 
                   zw_REG_OP = X"7E")) THEN
 
               next_state <= s418;
 
            ELSE
 
               next_state <= s416;
 
            END IF;
 
         WHEN s418 =>
 
            next_state <= FETCH;
 
         WHEN s510 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"65") THEN
 
               next_state <= s565;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"69" and
 
                   reg_F(3) = '0') THEN
 
               next_state <= FETCH;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"75") THEN
 
               next_state <= s560;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"6D") THEN
 
               next_state <= s553;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"7D") THEN
 
               next_state <= s555;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"79") THEN
 
               next_state <= s555;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"71") THEN
 
               next_state <= s558;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"61") THEN
 
               next_state <= s561;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"69" and
 
                   reg_F(3) = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s510;
 
            END IF;
 
         WHEN s553 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s565;
 
            ELSE
 
               next_state <= s553;
 
            END IF;
 
         WHEN s555 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s564;
 
            ELSE
 
               next_state <= s555;
 
            END IF;
 
         WHEN s558 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s566;
 
            ELSE
 
               next_state <= s558;
 
            END IF;
 
         WHEN s560 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s565;
 
            ELSE
 
               next_state <= s560;
 
            END IF;
 
         WHEN s561 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s563;
 
            ELSE
 
               next_state <= s561;
 
            END IF;
 
         WHEN s563 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s553;
 
            ELSE
 
               next_state <= s563;
 
            END IF;
 
         WHEN s564 =>
 
            IF (rdy_i = '1' AND
 
                zw_b2(0) = '0' and
 
                reg_F(3) = '0') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1') then
            ELSIF (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '1') THEN
 
               next_state <= FETCH;
 
            ELSIF (rdy_i = '1') THEN
 
               next_state <= s565;
 
            ELSE
 
               next_state <= s564;
 
            END IF;
 
         WHEN s565 =>
 
            IF (rdy_i = '1' and
 
                reg_F(3) = '0') THEN
 
               next_state <= FETCH;
 
            ELSIF (rdy_i = '1' and
 
                   reg_F(3) = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s565;
 
            END IF;
 
         WHEN s566 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s564;
 
            ELSE
 
               next_state <= s566;
 
            END IF;
 
         WHEN s266 =>
 
            IF (rdy_i = '1' and (
 
                (reg_F(0) = '1' and zw_REG_OP = X"90") or
 
                (reg_F(0) = '0' and zw_REG_OP = X"B0") or
 
                (reg_F(1) = '0' and zw_REG_OP = X"F0") or
 
                (reg_F(7) = '0' and zw_REG_OP = X"30") or
 
                (reg_F(1) = '1' and zw_REG_OP = X"D0") or
 
                (reg_F(7) = '1' and zw_REG_OP = X"10") or
 
                (reg_F(6) = '1' and zw_REG_OP = X"50") or
 
                (reg_F(6) = '0' and zw_REG_OP = X"70"))) THEN
               next_state <= FETCH;
               next_state <= FETCH;
            else
            ELSIF (rdy_i = '1') THEN
               next_state <= G15_e2;
               next_state <= s301;
            end if;
            ELSE
         when G15_e3 =>
               next_state <= s266;
            if (rdy_i = '1') then
            END IF;
               next_state <= G15_3;
         WHEN s301 =>
            else
            IF (rdy_i = '1' and
               next_state <= G15_e3;
                zw_b3 = adr_nxt_pc_i (15 downto 8)) THEN
            end if;
               next_state <= FETCH;
         when G16_1 =>
            ELSIF (rdy_i = '1') THEN
            if (rdy_i = '1' and
               next_state <= s302;
                zw_REG_OP = X"E5") then
            ELSE
               next_state <= G16_e2;
               next_state <= s301;
            elsif (rdy_i = '1' and
            END IF;
 
         WHEN s302 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= FETCH;
 
            ELSE
 
               next_state <= s302;
 
            END IF;
 
         WHEN RES =>
 
            next_state <= s544;
 
         WHEN s511 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"E5") THEN
 
               next_state <= s574;
 
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E9" and
                   zw_REG_OP = X"E9" and
                   reg_F(3) = '0') then
                   reg_F(3) = '0') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"F5") then
                   zw_REG_OP = X"F5") THEN
               next_state <= G16_2;
               next_state <= s569;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"ED") then
                   zw_REG_OP = X"ED") THEN
               next_state <= G16_3;
               next_state <= s559;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"FD") then
                   zw_REG_OP = X"FD") THEN
               next_state <= G16_4;
               next_state <= s562;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"F9") then
                   zw_REG_OP = X"F9") THEN
               next_state <= G16_4;
               next_state <= s567;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"F1") then
                   zw_REG_OP = X"F1") THEN
               next_state <= G16_5;
               next_state <= s568;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E1") then
                   zw_REG_OP = X"E1") THEN
               next_state <= G16_7;
               next_state <= s570;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E9" and
                   zw_REG_OP = X"E9" and
                   reg_F(3) = '1') then
                   reg_F(3) = '1') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            else
            ELSE
               next_state <= G16_1;
               next_state <= s511;
            end if;
            END IF;
         when G16_2 =>
         WHEN s559 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_e2;
               next_state <= s574;
            else
            ELSE
               next_state <= G16_2;
               next_state <= s559;
            end if;
            END IF;
         when G16_3 =>
         WHEN s562 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_e2;
               next_state <= s573;
            else
            ELSE
               next_state <= G16_3;
               next_state <= s562;
            end if;
            END IF;
         when G16_4 =>
         WHEN s567 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_e1;
               next_state <= s573;
            else
            ELSE
               next_state <= G16_4;
               next_state <= s567;
            end if;
            END IF;
         when G16_5 =>
         WHEN s568 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_6;
               next_state <= s571;
            else
            ELSE
               next_state <= G16_5;
               next_state <= s568;
            end if;
            END IF;
         when G16_6 =>
         WHEN s569 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_e1;
               next_state <= s574;
            else
            ELSE
               next_state <= G16_6;
               next_state <= s569;
            end if;
            END IF;
         when G16_7 =>
         WHEN s570 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_e3;
               next_state <= s572;
            else
            ELSE
               next_state <= G16_7;
               next_state <= s570;
            end if;
            END IF;
         when G16_e1 =>
         WHEN s571 =>
            if (rdy_i = '1' AND
            IF (rdy_i = '1') THEN
 
               next_state <= s573;
 
            ELSE
 
               next_state <= s571;
 
            END IF;
 
         WHEN s572 =>
 
            IF (rdy_i = '1') THEN
 
               next_state <= s559;
 
            ELSE
 
               next_state <= s572;
 
            END IF;
 
         WHEN s573 =>
 
            IF (rdy_i = '1' AND
                zw_b2(0) = '0' and
                zw_b2(0) = '0' and
                reg_F(3) = '0') then
                reg_F(3) = '0') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' AND
            ELSIF (rdy_i = '1' AND
                   zw_b2(0) = '0' and
                   zw_b2(0) = '0' and
                   reg_F(3) = '1') then
                   reg_F(3) = '1') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1') then
            ELSIF (rdy_i = '1') THEN
               next_state <= G16_e2;
               next_state <= s574;
            else
            ELSE
               next_state <= G16_e1;
               next_state <= s573;
            end if;
            END IF;
         when G16_e2 =>
         WHEN s574 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1' and
                reg_F(3) = '0') then
                reg_F(3) = '0') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   reg_F(3) = '1') then
                   reg_F(3) = '1') THEN
               next_state <= FETCH;
               next_state <= FETCH;
            else
            ELSE
               next_state <= G16_e2;
               next_state <= s574;
            end if;
            END IF;
         when G16_e3 =>
         WHEN s548 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               next_state <= G16_3;
               next_state <= s551;
            else
            ELSE
               next_state <= G16_e3;
               next_state <= s548;
            end if;
            END IF;
         when G17_1 =>
         WHEN s551 =>
            if (rdy_i = '1' and
            next_state <= s552;
                (zw_REG_OP = X"85" OR
         WHEN s552 =>
                zw_REG_OP = X"86" OR
            next_state <= s576;
                zw_REG_OP = X"84")) then
         WHEN s575 =>
               next_state <= G17_e;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= s577;
                   (zw_REG_OP = X"95" OR
            ELSE
                   zw_REG_OP = X"94")) then
               next_state <= s575;
               next_state <= G17_2;
            END IF;
            elsif (rdy_i = '1' and
         WHEN s576 =>
                   (zw_REG_OP = X"8D" OR
            IF (NMI_i = '1') THEN
                   zw_REG_OP = X"8E" OR
               next_state <= s578;
                   zw_REG_OP = X"8C")) then
            ELSE
               next_state <= G17_3;
               next_state <= s575;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"9D") then
         WHEN s577 =>
               next_state <= G17_4;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= FETCH;
                   zw_REG_OP = X"99") then
            ELSE
               next_state <= G17_4;
               next_state <= s577;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"91") then
         WHEN s578 =>
               next_state <= G17_6;
            IF (rdy_i = '1') THEN
            elsif (rdy_i = '1' and
               next_state <= s577;
                   zw_REG_OP = X"81") then
            ELSE
               next_state <= G17_8;
               next_state <= s578;
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"96") then
         WHEN OTHERS =>
               next_state <= G17_2;
 
            else
 
               next_state <= G17_1;
 
            end if;
 
         when G17_10 =>
 
            next_state <= G17_e;
 
         when G17_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_e;
 
            else
 
               next_state <= G17_2;
 
            end if;
 
         when G17_3 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_e;
 
            else
 
               next_state <= G17_3;
 
            end if;
 
         when G17_4 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_5;
 
            else
 
               next_state <= G17_4;
 
            end if;
 
         when G17_5 =>
 
            next_state <= G17_e;
 
         when G17_6 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_7;
 
            else
 
               next_state <= G17_6;
 
            end if;
 
         when G17_7 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_5;
 
            else
 
               next_state <= G17_7;
 
            end if;
 
         when G17_8 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_9;
 
            else
 
               next_state <= G17_8;
 
            end if;
 
         when G17_9 =>
 
            if (rdy_i = '1') then
 
               next_state <= G17_10;
 
            else
 
               next_state <= G17_9;
 
            end if;
 
         when G17_e =>
 
            next_state <= FETCH;
 
         when G18_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G18_2;
 
            else
 
               next_state <= G18_1;
 
            end if;
 
         when G18_2 =>
 
            next_state <= G18_3;
 
         when G18_3 =>
 
            next_state <= G18_4;
 
         when G18_4 =>
 
            next_state <= G18_5;
 
         when G18_5 =>
 
            if (rdy_i = '1') then
 
               next_state <= G18_e;
 
            else
 
               next_state <= G18_5;
 
            end if;
 
         when G18_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G18_e;
 
            end if;
 
         when G19_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G19_1;
 
            end if;
 
         when G1_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G1_1;
 
            end if;
 
         when G20_1 =>
 
            if (rdy_i = '1' and
 
                zw_REG_OP = X"4C") then
 
               next_state <= G20_e;
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"6C") then
 
               next_state <= G20_2;
 
            else
 
               next_state <= G20_1;
 
            end if;
 
         when G20_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G20_3;
 
            else
 
               next_state <= G20_2;
 
            end if;
 
         when G20_3 =>
 
            if (rdy_i = '1') then
 
               next_state <= G20_e;
 
            else
 
               next_state <= G20_3;
 
            end if;
 
         when G20_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G20_e;
 
            end if;
 
         when G21_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G21_2;
 
            else
 
               next_state <= G21_1;
 
            end if;
 
         when G21_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G21_3;
 
            else
 
               next_state <= G21_2;
 
            end if;
 
         when G21_3 =>
 
            next_state <= G21_4;
 
         when G21_4 =>
 
            next_state <= G21_e;
 
         when G21_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G21_e;
 
            end if;
 
         when G22_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G22_e;
 
            else
 
               next_state <= G22_1;
 
            end if;
 
         when G22_e =>
 
            next_state <= FETCH;
 
         when G23_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G23_e;
 
            else
 
               next_state <= G23_1;
 
            end if;
 
         when G23_e =>
 
            next_state <= FETCH;
 
         when G24_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G24_2;
 
            else
 
               next_state <= G24_1;
 
            end if;
 
         when G24_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G24_e;
 
            else
 
               next_state <= G24_2;
 
            end if;
 
         when G24_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G24_e;
 
            end if;
 
         when G25_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G25_2;
 
            else
 
               next_state <= G25_1;
 
            end if;
 
         when G25_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G25_e;
 
            else
 
               next_state <= G25_2;
 
            end if;
 
         when G25_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G25_e;
 
            end if;
 
         when G26_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G26_2;
 
            else
 
               next_state <= G26_1;
 
            end if;
 
         when G26_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G26_3;
 
            else
 
               next_state <= G26_2;
 
            end if;
 
         when G26_3 =>
 
            if (rdy_i = '1') then
 
               next_state <= G26_4;
 
            else
 
               next_state <= G26_3;
 
            end if;
 
         when G26_4 =>
 
            if (rdy_i = '1') then
 
               next_state <= G26_e;
 
            else
 
               next_state <= G26_4;
 
            end if;
 
         when G26_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G26_e;
 
            end if;
 
         when G27_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G27_2;
 
            else
 
               next_state <= G27_1;
 
            end if;
 
         when G27_2 =>
 
            if (rdy_i = '1') then
 
               next_state <= G27_3;
 
            else
 
               next_state <= G27_2;
 
            end if;
 
         when G27_3 =>
 
            if (rdy_i = '1') then
 
               next_state <= G27_4;
 
            else
 
               next_state <= G27_3;
 
            end if;
 
         when G27_4 =>
 
            if (rdy_i = '1') then
 
               next_state <= G27_e;
 
            else
 
               next_state <= G27_4;
 
            end if;
 
         when G27_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G27_e;
 
            end if;
 
         when G28_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G28_2;
 
            else
 
               next_state <= G28_1;
 
            end if;
 
         when G28_2 =>
 
            next_state <= G28_3;
 
         when G28_3 =>
 
            next_state <= G28_4;
 
         when G28_4 =>
 
            next_state <= G28_5;
 
         when G28_5 =>
 
            if (rdy_i = '1') then
 
               next_state <= G28_e;
 
            else
 
               next_state <= G28_5;
 
            end if;
 
         when G28_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G28_e;
 
            end if;
 
         when G29_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= G29_2;
 
            else
 
               next_state <= G29_1;
 
            end if;
 
         when G29_2 =>
 
            next_state <= G29_3;
 
         when G29_3 =>
 
            next_state <= G29_4;
 
         when G29_4 =>
 
            next_state <= G29_5;
 
         when G29_5 =>
 
            if (rdy_i = '1') then
 
               next_state <= G29_e;
 
            else
 
               next_state <= G29_5;
 
            end if;
 
         when G29_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G29_e;
 
            end if;
 
         when G2_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G2_1;
 
            end if;
 
         when G30_1 =>
 
            next_state <= G30_2;
 
         when G30_2 =>
 
            next_state <= G30_3;
 
         when G30_3 =>
 
            next_state <= G30_4;
 
         when G30_4 =>
 
            next_state <= G30_5;
 
         when G30_5 =>
 
            if (rdy_i = '1') then
 
               next_state <= G30_e;
 
            else
 
               next_state <= G30_5;
 
            end if;
 
         when G30_e =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G30_e;
 
            end if;
 
         when G31_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G31_1;
 
            end if;
 
         when G32_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G32_1;
 
            end if;
 
         when G33_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G33_1;
 
            end if;
 
         when G34_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G34_1;
 
            end if;
 
         when G3_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G3_1;
 
            end if;
 
         when G4_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G4_1;
 
            end if;
 
         when G5_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G5_1;
 
            end if;
 
         when G6_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G6_1;
 
            end if;
 
         when G7_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G7_1;
 
            end if;
 
         when G8_1 =>
 
            if (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G8_1;
 
            end if;
 
         when G9_1 =>
 
            if (rdy_i = '1' and
 
                zw_REG_OP = X"9A") then
 
               next_state <= FETCH;
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"BA") then
 
               next_state <= FETCH;
 
            elsif (rdy_i = '1') then
 
               next_state <= FETCH;
 
            else
 
               next_state <= G9_1;
 
            end if;
 
         when RES =>
 
            next_state <= G30_1;
 
         when others =>
 
            next_state <= RES;
            next_state <= RES;
      end case;
      END CASE;
   end process nextstate_proc;
   END PROCESS nextstate_proc;
 
 
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   output_proc : process (
   output_proc : PROCESS (
      adr_nxt_pc_i,
      adr_nxt_pc_i,
      adr_pc_i,
      adr_pc_i,
      adr_sp_i,
      adr_sp_i,
      current_state,
      current_state,
      d_alu_i,
      d_alu_i,
Line 3239... Line 3288...
      zw_b2,
      zw_b2,
      zw_b3,
      zw_b3,
      zw_b4
      zw_b4
   )
   )
   -----------------------------------------------------------------
   -----------------------------------------------------------------
   begin
   BEGIN
      -- Default Assignment
      -- Default Assignment
      a_o <= sig_PC;
      a_o <= sig_PC;
      adr_o <= X"0000";
      adr_o <= X"0000";
      ch_a_o <= X"00";
      ch_a_o <= X"00";
      ch_b_o <= X"00";
      ch_b_o <= X"00";
      d_regs_in_o <= X"00";
      d_regs_in_o <= X"00";
      fetch_o <= '0';
 
      ld_o <= "00";
      ld_o <= "00";
      ld_pc_o <= '0';
      ld_pc_o <= '0';
      ld_sp_o <= '0';
      ld_sp_o <= '0';
      load_regs_o <= '0';
      load_regs_o <= '0';
      offset_o <= X"0000";
      offset_o <= X"0000";
 
      rst_nmi_o <= '0';
      sel_pc_in_o <= reg_sel_pc_in;
      sel_pc_in_o <= reg_sel_pc_in;
      sel_pc_val_o <= reg_sel_pc_val;
      sel_pc_val_o <= reg_sel_pc_val;
      sel_rb_in_o <= reg_sel_rb_in;
      sel_rb_in_o <= reg_sel_rb_in;
      sel_rb_out_o <= reg_sel_rb_out;
      sel_rb_out_o <= reg_sel_rb_out;
      sel_reg_o <= reg_sel_reg;
      sel_reg_o <= reg_sel_reg;
      sel_sp_as_o <= reg_sel_sp_as;
      sel_sp_as_o <= reg_sel_sp_as;
      sel_sp_in_o <= reg_sel_sp_in;
      sel_sp_in_o <= reg_sel_sp_in;
      -- Default Assignment To Internals
      -- Default Assignment To Internals
      sig_D_OUT <= X"00";
      sig_D_OUT <= X"00";
 
      sig_RD <= '1';
 
      sig_RWn <= '1';
      sig_SYNC <= '0';
      sig_SYNC <= '0';
      sig_WR <= '0';
      sig_WR <= '0';
      zw_ALU <= '0' & X"00";
      zw_ALU <= '0' & X"00";
      zw_ALU1 <= '0' & X"0";
      zw_ALU1 <= '0' & X"0";
      zw_ALU2 <= '0' & X"0";
      zw_ALU2 <= '0' & X"0";
Line 3272... Line 3323...
      zw_ALU4 <= '0' & X"0";
      zw_ALU4 <= '0' & X"0";
      zw_ALU5 <= X"0";
      zw_ALU5 <= X"0";
      zw_ALU6 <= X"0";
      zw_ALU6 <= X"0";
 
 
      -- Combined Actions
      -- Combined Actions
      case current_state is
      CASE current_state IS
         when FETCH =>
         WHEN FETCH =>
 
            sig_RWn <= '1';
 
            sig_RD <= '1';
            sig_SYNC <= NOT (rdy_i);
            sig_SYNC <= NOT (rdy_i);
            if ((nmi_i = '1') and (rdy_i = '1')) then
            IF ((nmi_i = '1') AND (rdy_i = '1')) THEN
               ld_o <= "11";
            ELSIF ((irq_n_i = '0' and
               ld_pc_o <= '1';
                   reg_F(2) = '0') AND (rdy_i = '1')) THEN
            elsif ((irq_n_i = '0' and
            ELSIF ((d_i = X"69" or
                   reg_F(2) = '0') and (rdy_i = '1')) then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            elsif ((d_i = X"69" or
 
                   d_i = X"65" or
                   d_i = X"65" or
                   d_i = X"75" or
                   d_i = X"75" or
                   d_i = X"6D" or
                   d_i = X"6D" or
                   d_i = X"7D" or
                   d_i = X"7D" or
                   d_i = X"79" or
                   d_i = X"79" or
                   d_i = X"61" or
                   d_i = X"61" or
                   d_i = X"71") and (rdy_i = '1')) then
                   d_i = X"71") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"06" or
            ELSIF ((d_i = X"06" or
                   d_i = X"16" or
                   d_i = X"16" or
                   d_i = X"0E" or
                   d_i = X"0E" or
                   d_i = X"1E") and (rdy_i = '1')) then
                   d_i = X"1E") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"90" or
            ELSIF ((d_i = X"90" or
                   d_i = X"B0" or
                   d_i = X"B0" or
                   d_i = X"F0" or
                   d_i = X"F0" or
                   d_i = X"30" or
                   d_i = X"30" or
                   d_i = X"D0" or
                   d_i = X"D0" or
                   d_i = X"10" or
                   d_i = X"10" or
                   d_i = X"50" or
                   d_i = X"50" or
                   d_i = X"70") and (rdy_i = '1')) then
                   d_i = X"70") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"24" or
            ELSIF ((d_i = X"24" or
                   d_i = X"2C") and (rdy_i = '1')) then
                   d_i = X"2C") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"00") and (rdy_i = '1')) then
            ELSIF ((d_i = X"00") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"18") and (rdy_i = '1')) then
            ELSIF ((d_i = X"18") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"D8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"D8") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"58") and (rdy_i = '1')) then
            ELSIF ((d_i = X"58") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"B8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"B8") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"E0" or
            ELSIF ((d_i = X"E0" or
                   d_i = X"E4" or
                   d_i = X"E4" or
                   d_i = X"EC") and (rdy_i = '1')) then
                   d_i = X"EC") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"C0" or
            ELSIF ((d_i = X"C0" or
                   d_i = X"C4" or
                   d_i = X"C4" or
                   d_i = X"CC") and (rdy_i = '1')) then
                   d_i = X"CC") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"C6" or
            ELSIF ((d_i = X"C6" or
                   d_i = X"D6" or
                   d_i = X"D6" or
                   d_i = X"CE" or
                   d_i = X"CE" or
                   d_i = X"DE") and (rdy_i = '1')) then
                   d_i = X"DE") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"CA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"CA") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"88") and (rdy_i = '1')) then
            ELSIF ((d_i = X"88") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"49" or
            ELSIF ((d_i = X"49" or
                   d_i = X"45" or
                   d_i = X"45" or
                   d_i = X"55" or
                   d_i = X"55" or
                   d_i = X"4D" or
                   d_i = X"4D" or
                   d_i = X"5D" or
                   d_i = X"5D" or
                   d_i = X"59" or
                   d_i = X"59" or
Line 3380... Line 3429...
                   d_i = X"D5" or
                   d_i = X"D5" or
                   d_i = X"CD" or
                   d_i = X"CD" or
                   d_i = X"DD" or
                   d_i = X"DD" or
                   d_i = X"D9" or
                   d_i = X"D9" or
                   d_i = X"C1" or
                   d_i = X"C1" or
                   d_i = X"D1") and (rdy_i = '1')) then
                   d_i = X"D1") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"E6" or
            ELSIF ((d_i = X"E6" or
                   d_i = X"F6" or
                   d_i = X"F6" or
                   d_i = X"EE" or
                   d_i = X"EE" or
                   d_i = X"FE") and (rdy_i = '1')) then
                   d_i = X"FE") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"E8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"E8") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"C8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"C8") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"4C" or
            ELSIF ((d_i = X"4C" or
                   d_i = X"6C") and (rdy_i = '1')) then
                   d_i = X"6C") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"20") and (rdy_i = '1')) then
            ELSIF ((d_i = X"20") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"A9" or
            ELSIF ((d_i = X"A9" or
                   d_i = X"A5" or
                   d_i = X"A5" or
                   d_i = X"B5" or
                   d_i = X"B5" or
                   d_i = X"AD" or
                   d_i = X"AD" or
                   d_i = X"BD" or
                   d_i = X"BD" or
                   d_i = X"B9" or
                   d_i = X"B9" or
                   d_i = X"A1" or
                   d_i = X"A1" or
                   d_i = X"B1") and (rdy_i = '1')) then
                   d_i = X"B1") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"A2" or
            ELSIF ((d_i = X"A2" or
                   d_i = X"A6" or
                   d_i = X"A6" or
                   d_i = X"B6" or
                   d_i = X"B6" or
                   d_i = X"AE" or
                   d_i = X"AE" or
                   d_i = X"BE") and (rdy_i = '1')) then
                   d_i = X"BE") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"A0" or
            ELSIF ((d_i = X"A0" or
                   d_i = X"A4" or
                   d_i = X"A4" or
                   d_i = X"B4" or
                   d_i = X"B4" or
                   d_i = X"AC" or
                   d_i = X"AC" or
                   d_i = X"BC") and (rdy_i = '1')) then
                   d_i = X"BC") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"46" or
            ELSIF ((d_i = X"46" or
                   d_i = X"56" or
                   d_i = X"56" or
                   d_i = X"4E" or
                   d_i = X"4E" or
                   d_i = X"5E") and (rdy_i = '1')) then
                   d_i = X"5E") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"EA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"EA") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"48") and (rdy_i = '1')) then
            ELSIF ((d_i = X"48") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"08") and (rdy_i = '1')) then
            ELSIF ((d_i = X"08") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"68") and (rdy_i = '1')) then
            ELSIF ((d_i = X"68") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"28") and (rdy_i = '1')) then
            ELSIF ((d_i = X"28") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"26" or
            ELSIF ((d_i = X"26" or
                   d_i = X"36" or
                   d_i = X"36" or
                   d_i = X"2E" or
                   d_i = X"2E" or
                   d_i = X"3E") and (rdy_i = '1')) then
                   d_i = X"3E") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"66" or
            ELSIF ((d_i = X"66" or
                   d_i = X"76" or
                   d_i = X"76" or
                   d_i = X"6E" or
                   d_i = X"6E" or
                   d_i = X"7E") and (rdy_i = '1')) then
                   d_i = X"7E") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"40") and (rdy_i = '1')) then
            ELSIF ((d_i = X"40") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"60") and (rdy_i = '1')) then
            ELSIF ((d_i = X"60") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"E9" or
            ELSIF ((d_i = X"E9" or
                   d_i = X"E5" or
                   d_i = X"E5" or
                   d_i = X"F5" or
                   d_i = X"F5" or
                   d_i = X"ED" or
                   d_i = X"ED" or
                   d_i = X"FD" or
                   d_i = X"FD" or
                   d_i = X"F9" or
                   d_i = X"F9" or
                   d_i = X"E1" or
                   d_i = X"E1" or
                   d_i = X"F1") and (rdy_i = '1')) then
                   d_i = X"F1") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"38") and (rdy_i = '1')) then
            ELSIF ((d_i = X"38") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"F8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"F8") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"78") and (rdy_i = '1')) then
            ELSIF ((d_i = X"78") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"85" or
            ELSIF ((d_i = X"85" or
                   d_i = X"95" or
                   d_i = X"95" or
                   d_i = X"8D" or
                   d_i = X"8D" or
                   d_i = X"9D" or
                   d_i = X"9D" or
                   d_i = X"99" or
                   d_i = X"99" or
                   d_i = X"81" or
                   d_i = X"81" or
                   d_i = X"91") and (rdy_i = '1')) then
                   d_i = X"91") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"86" or
            ELSIF ((d_i = X"86" or
                   d_i = X"96" or
                   d_i = X"96" or
                   d_i = X"8E") and (rdy_i = '1')) then
                   d_i = X"8E") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"84" or
            ELSIF ((d_i = X"84" or
                   d_i = X"94" or
                   d_i = X"94" or
                   d_i = X"8C") and (rdy_i = '1')) then
                   d_i = X"8C") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"AA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"AA") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"0A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"0A") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"4A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"4A") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"2A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"2A") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"6A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"6A") AND (rdy_i = '1')) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"A8") and (rdy_i = '1')) then
            ELSIF ((d_i = X"A8") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"98") and (rdy_i = '1')) then
            ELSIF ((d_i = X"98") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"BA") and (rdy_i = '1')) then
            ELSIF ((d_i = X"BA") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"8A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"8A") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((d_i = X"9A") and (rdy_i = '1')) then
            ELSIF ((d_i = X"9A") AND (rdy_i = '1')) THEN
 
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1') then
            ELSIF (rdy_i = '1') THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G10_1 =>
         WHEN s1 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                zw_REG_OP = X"65") then
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s2 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s5 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s3 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s4 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"9A") THEN
 
               adr_o <= X"01" & d_regs_out_i;
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"BA") THEN
 
               d_regs_in_o <= adr_sp_i (7 downto 0);
 
               ch_a_o <= adr_sp_i (7 downto 0);
 
               ch_b_o <= X"00";
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1') THEN
 
               ch_a_o <= d_regs_out_i;
 
               ch_b_o <= X"00";
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s12 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s16 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s17 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s24 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s25 =>
 
            IF (rdy_i = '1') THEN
 
               d_regs_in_o <= d_alu_i;
 
               ch_a_o <= d_regs_out_i;
 
               ch_b_o <= zw_b4;
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s273 =>
 
            IF (rdy_i = '1') THEN
 
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            END IF;
                   zw_REG_OP = X"69" and
         WHEN s307 =>
                   reg_F(3) = '0') then
            IF (rdy_i = '1') THEN
 
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
 
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            elsif (rdy_i = '1' and
         WHEN s177 =>
                   zw_REG_OP = X"75") then
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"85" OR
 
                zw_REG_OP = X"86" OR
 
                zw_REG_OP = X"84")) THEN
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
               sig_D_OUT <= d_regs_out_i;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"95" OR
 
                   zw_REG_OP = X"94")) THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"6D") then
                   (zw_REG_OP = X"8D" OR
 
                   zw_REG_OP = X"8E" OR
 
                   zw_REG_OP = X"8C")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"7D") then
                   zw_REG_OP = X"9D") THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"79") then
                   zw_REG_OP = X"99") THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"71") then
                   zw_REG_OP = X"91") THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"61") then
                   zw_REG_OP = X"81") THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"69" and
                   zw_REG_OP = X"96") THEN
                   reg_F(3) = '1') then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G10_2 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G10_3 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G10_4 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= q_y_i;
 
            END IF;
 
         WHEN s180 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G10_5 =>
         WHEN s181 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            end if;
            END IF;
         when G10_6 =>
         WHEN s182 =>
            if (rdy_i = '1') then
            sig_RWn <= '1';
 
            sig_RD <= '1';
 
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= "0000000" & zw_b2(0);
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G10_e1 =>
         WHEN s183 =>
            if (rdy_i = '1' AND
            IF (rdy_i = '1') THEN
                zw_b2(0) = '0' and
               sig_RWn <= '0';
                reg_F(3) = '0') then
               sig_RD <= '0';
               d_regs_in_o <= zw_ALU(7 downto 0);
               sig_WR <= '1';
               load_regs_o <= '1';
               sig_D_OUT <= d_regs_out_i;
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            elsif (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '1') then
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G10_e2 =>
 
            if (rdy_i = '1' and
 
                reg_F(3) = '0') then
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            elsif (rdy_i = '1' and
 
                   reg_F(3) = '1') then
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G10_e3 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            end if;
 
         when G11_1 =>
 
            if (rdy_i = '1' and
 
                (zw_REG_OP = X"1E" or
 
                zw_REG_OP = X"7E" or
 
                zw_REG_OP = X"3E" or
 
                zw_REG_OP = X"5E")) then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
            END IF;
               ch_b_o <= q_x_i;
         WHEN s184 =>
            elsif (rdy_i = '1' and
            sig_SYNC <= '1';
                   (zw_REG_OP = X"06" or
         WHEN s185 =>
                   zw_REG_OP = X"66" or
            IF (rdy_i = '1') THEN
                   zw_REG_OP = X"26" or
               sig_RWn <= '0';
                   zw_REG_OP = X"46")) then
               sig_RD <= '0';
 
               sig_WR <= '1';
 
               sig_D_OUT <= d_regs_out_i;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            END IF;
                   (zw_REG_OP = X"16" or
         WHEN s187 =>
                   zw_REG_OP = X"76" or
            sig_SYNC <= '1';
                   zw_REG_OP = X"36" or
         WHEN s188 =>
                   zw_REG_OP = X"56")) then
            IF (rdy_i = '1') THEN
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            END IF;
 
         WHEN s189 =>
 
            IF (rdy_i = '1') THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= "0000000" & zw_b2(0);
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"0E" or
 
                   zw_REG_OP = X"6E" or
 
                   zw_REG_OP = X"2E" or
 
                   zw_REG_OP = X"4E")) then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G11_2 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G11_4 =>
         WHEN s190 =>
            if (rdy_i = '1' and
            sig_SYNC <= '1';
                (zw_REG_OP = X"06" or
         WHEN s191 =>
                zw_REG_OP = X"16" or
            sig_RWn <= '0';
                zw_REG_OP = X"0E" or
            sig_RD <= '0';
                zw_REG_OP = X"1E")) then
 
               sig_D_OUT <= d_i(6 downto 0) & '0';
 
               sig_WR <= '1';
               sig_WR <= '1';
            elsif (rdy_i = '1' and
            sig_D_OUT <= d_regs_out_i;
                   (zw_REG_OP = X"46" or
         WHEN s192 =>
                   zw_REG_OP = X"56" or
            sig_RWn <= '0';
                   zw_REG_OP = X"4E" or
            sig_RD <= '0';
                   zw_REG_OP = X"5E")) then
 
               sig_D_OUT <= '0' & d_i(7 downto 1);
 
               sig_WR <= '1';
               sig_WR <= '1';
            elsif (rdy_i = '1' and
            sig_D_OUT <= d_regs_out_i;
                   (zw_REG_OP = X"26" or
            ld_o <= "11";
                   zw_REG_OP = X"36" or
            ld_pc_o <= '1';
                   zw_REG_OP = X"2E" or
         WHEN s193 =>
                   zw_REG_OP = X"3E")) then
            sig_SYNC <= '1';
               sig_D_OUT <= d_i(6 downto 0) & reg_F(0);
         WHEN s377 =>
 
            IF (rdy_i = '1') THEN
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
               sig_WR <= '1';
               sig_WR <= '1';
            elsif (rdy_i = '1' and
               sig_D_OUT <= q_a_i;
                   (zw_REG_OP = X"66" or
               ld_o <= "11";
                   zw_REG_OP = X"76" or
               ld_sp_o <= '1';
                   zw_REG_OP = X"6E" or
            END IF;
                   zw_REG_OP = X"7E")) then
         WHEN s381 =>
               sig_D_OUT <= reg_F(0) & d_i(7 downto 1);
            sig_SYNC <= '1';
 
         WHEN s378 =>
 
            IF (rdy_i = '1') THEN
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
               sig_WR <= '1';
               sig_WR <= '1';
            end if;
               sig_D_OUT <= reg_F;
         when G11_5 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_sp_o <= '1';
            end if;
            END IF;
         when G11_6 =>
         WHEN s382 =>
            if (rdy_i = '1') then
            sig_SYNC <= '1';
 
         WHEN s379 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s384 =>
 
            IF (rdy_i = '1') THEN
 
               d_regs_in_o <= d_i;
 
               load_regs_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= "0000000" & zw_b2(0);
               ch_b_o <= X"00";
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s380 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s386 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s387 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s388 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s389 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s392 =>
 
            IF (rdy_i = '1') THEN
 
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
 
         when G11_e =>
 
            ch_a_o <= zw_b1;
 
            ch_b_o <= X"00";
 
            sig_SYNC <= '1';
            sig_SYNC <= '1';
            fetch_o <= '1';
            END IF;
         when G12_1 =>
         WHEN s390 =>
            if (rdy_i = '1' and (
            IF (rdy_i = '1') THEN
                (reg_F(0) = '1' and zw_REG_OP = X"90") or
 
                (reg_F(0) = '0' and zw_REG_OP = X"B0") or
 
                (reg_F(1) = '0' and zw_REG_OP = X"F0") or
 
                (reg_F(7) = '0' and zw_REG_OP = X"30") or
 
                (reg_F(1) = '1' and zw_REG_OP = X"D0") or
 
                (reg_F(7) = '1' and zw_REG_OP = X"10") or
 
                (reg_F(6) = '1' and zw_REG_OP = X"50") or
 
                (reg_F(6) = '0' and zw_REG_OP = X"70"))) then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_sp_o <= '1';
               sig_SYNC <= '1';
            END IF;
               fetch_o <= '1';
         WHEN s393 =>
            elsif (rdy_i = '1') then
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            END IF;
 
         WHEN s395 =>
 
            IF (rdy_i = '1') THEN
 
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G12_e1 =>
         WHEN s396 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1') THEN
                zw_b3 = adr_nxt_pc_i (15 downto 8)) then
               sig_SYNC <= '1';
               offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
            END IF;
               zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
         WHEN s397 =>
 
            IF (rdy_i = '1') THEN
               ld_o <= "11";
               ld_o <= "11";
 
               ld_sp_o <= '1';
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               sig_SYNC <= '1';
            END IF;
               fetch_o <= '1';
         WHEN s398 =>
            elsif (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
               sig_RWn <= '0';
               zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
               sig_RD <= '0';
 
               sig_WR <= '1';
 
               sig_D_OUT <= adr_pc_i (15 downto 8);
 
            END IF;
 
         WHEN s399 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_RWn <= '0';
 
            sig_RD <= '0';
 
            sig_WR <= '1';
 
            sig_D_OUT <= adr_pc_i (7 downto 0);
 
         WHEN s401 =>
 
            IF (rdy_i = '1') THEN
 
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
 
         when G12_e2 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN s526 =>
         when G13_1 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1' and
 
                zw_REG_OP = X"24") then
 
               ld_o <= "11";
               ld_o <= "11";
 
               ld_sp_o <= '1';
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
               sig_RWn <= '0';
                   zw_REG_OP = X"2C") then
               sig_RD <= '0';
 
               sig_WR <= '1';
 
               sig_D_OUT <= adr_pc_i (15 downto 8);
 
            END IF;
 
         WHEN s527 =>
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
            ld_sp_o <= '1';
            end if;
            sig_RWn <= '0';
         when G13_2 =>
            sig_RD <= '0';
            if (rdy_i = '1') then
            sig_WR <= '1';
 
            sig_D_OUT <= adr_pc_i (7 downto 0);
 
         WHEN s528 =>
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
            ld_sp_o <= '1';
            end if;
            sig_RWn <= '0';
         when G13_e =>
            sig_RD <= '0';
            if (rdy_i = '1') then
            sig_WR <= '1';
               ch_a_o <= q_a_i AND d_i;
            sig_D_OUT <= reg_F OR X"10";
               ch_b_o <= X"00";
         WHEN s530 =>
               sig_SYNC <= '1';
            IF (rdy_i = '1') THEN
               fetch_o <= '1';
               adr_o <= d_i & zw_b1;
            end if;
 
         when G14_1 =>
 
            if (rdy_i = '1' and
 
                (zw_REG_OP = X"C6" OR
 
                zw_REG_OP = X"E6")) then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
               sig_SYNC <= '1';
                   (zw_REG_OP = X"D6" OR
            END IF;
                   zw_REG_OP = X"F6")) then
         WHEN s544 =>
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"CE" OR
 
                   zw_REG_OP = X"EE")) then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
            ld_sp_o <= '1';
            elsif (rdy_i = '1' and
         WHEN s545 =>
                   (zw_REG_OP = X"DE" OR
            adr_o <= X"FFFB";
                   zw_REG_OP = X"FE")) then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
         WHEN s546 =>
               ch_b_o <= q_x_i;
 
            end if;
 
         when G14_2 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
         WHEN s549 =>
         when G14_3 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1') then
               adr_o <= d_i & zw_b1;
               ch_a_o <= d_i;
 
               ch_b_o <= zw_b4;
 
            end if;
 
         when G14_4 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= zw_b1;
 
            end if;
 
         when G14_5 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
               sig_SYNC <= '1';
         when G14_6 =>
            END IF;
            if (rdy_i = '1') then
         WHEN s550 =>
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
            ld_sp_o <= '1';
            end if;
         WHEN s404 =>
         when G14_e =>
            IF (rdy_i = '1') THEN
            ch_a_o <= zw_b1;
               ch_a_o <= q_a_i (6 downto 0) & '0';
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= q_a_i (6 downto 0) & '0';
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s556 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= '0' & q_a_i (7 downto 1);
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= '0' & q_a_i (7 downto 1);
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s557 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= q_a_i (6 downto 0) & reg_F(0);
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= q_a_i (6 downto 0) & reg_F(0);
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s579 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= reg_F(0) & q_a_i (7 downto 1);
            ch_b_o <= X"00";
            ch_b_o <= X"00";
 
               d_regs_in_o <= reg_F(0) & q_a_i (7 downto 1);
 
               load_regs_o <= '1';
            sig_SYNC <= '1';
            sig_SYNC <= '1';
            fetch_o <= '1';
            END IF;
         when G15_1 =>
         WHEN s201 =>
            if (rdy_i = '1' and
            IF (rdy_i = '1' and
                (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
                (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
                zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
                zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
                zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
                zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
                zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
                zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif ((rdy_i = '1' and
            ELSIF ((rdy_i = '1' and
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                   zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= d_i OR q_a_i;
               d_regs_in_o <= d_i OR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i OR q_a_i;
               ch_a_o <= d_i OR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' and
            elsif ((rdy_i = '1' and
 
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= d_i XOR q_a_i;
               d_regs_in_o <= d_i XOR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i XOR q_a_i;
               ch_a_o <= d_i XOR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' and
            elsif ((rdy_i = '1' and
 
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= d_i AND q_a_i;
               d_regs_in_o <= d_i AND q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i AND q_a_i;
               ch_a_o <= d_i AND q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' and
            elsif ((rdy_i = '1' and
 
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' and
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
                   zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= d_i;
               d_regs_in_o <= d_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' and
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"B5" OR
                   (zw_REG_OP = X"B5" OR
                   zw_REG_OP = X"B4" OR
                   zw_REG_OP = X"B4" OR
                   zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                   zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
                   zw_REG_OP = X"35" OR
                   zw_REG_OP = X"35" OR
                   zw_REG_OP = X"D5")) then
                   zw_REG_OP = X"D5")) THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"AD" OR
                   (zw_REG_OP = X"AD" OR
                   zw_REG_OP = X"AE" OR
                   zw_REG_OP = X"AE" OR
                   zw_REG_OP = X"AC" OR
                   zw_REG_OP = X"AC" OR
                   zw_REG_OP = X"4D" OR
                   zw_REG_OP = X"4D" OR
                   zw_REG_OP = X"0D" OR
                   zw_REG_OP = X"0D" OR
                   zw_REG_OP = X"2D" OR
                   zw_REG_OP = X"2D" OR
                   zw_REG_OP = X"CD" OR
                   zw_REG_OP = X"CD" OR
                   zw_REG_OP = X"EC" OR
                   zw_REG_OP = X"EC" OR
                   zw_REG_OP = X"CC")) then
                   zw_REG_OP = X"CC")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"BD" OR
                   (zw_REG_OP = X"BD" OR
                   zw_REG_OP = X"BC" OR
                   zw_REG_OP = X"BC" OR
                   zw_REG_OP = X"5D" OR
                   zw_REG_OP = X"5D" OR
                   zw_REG_OP = X"1D" OR
                   zw_REG_OP = X"1D" OR
                   zw_REG_OP = X"3D" OR
                   zw_REG_OP = X"3D" OR
                   zw_REG_OP = X"DD")) then
                   zw_REG_OP = X"DD")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"B9" OR
                   (zw_REG_OP = X"B9" OR
                   zw_REG_OP = X"BE" OR
                   zw_REG_OP = X"BE" OR
                   zw_REG_OP = X"59" OR
                   zw_REG_OP = X"59" OR
                   zw_REG_OP = X"19" OR
                   zw_REG_OP = X"19" OR
                   zw_REG_OP = X"39" OR
                   zw_REG_OP = X"39" OR
                   zw_REG_OP = X"D9")) then
                   zw_REG_OP = X"D9")) THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"B1" OR
                   (zw_REG_OP = X"B1" OR
                   zw_REG_OP = X"51" OR
                   zw_REG_OP = X"51" OR
                   zw_REG_OP = X"11" OR
                   zw_REG_OP = X"11" OR
                   zw_REG_OP = X"31" OR
                   zw_REG_OP = X"31" OR
                   zw_REG_OP = X"D1")) then
                   zw_REG_OP = X"D1")) THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   (zw_REG_OP = X"A1" OR
                   (zw_REG_OP = X"A1" OR
                   zw_REG_OP = X"41" OR
                   zw_REG_OP = X"41" OR
                   zw_REG_OP = X"01" OR
                   zw_REG_OP = X"01" OR
                   zw_REG_OP = X"21" OR
                   zw_REG_OP = X"21" OR
                   zw_REG_OP = X"C1")) then
                   zw_REG_OP = X"C1")) THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"B6") then
                   zw_REG_OP = X"B6") THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            end if;
            END IF;
         when G15_2 =>
         WHEN s202 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G15_3 =>
         WHEN s210 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G15_4 =>
         WHEN s211 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= "0000000" & zw_b2(0);
               ch_b_o <= "0000000" & zw_b2(0);
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G15_5 =>
         WHEN s215 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            end if;
            END IF;
         when G15_6 =>
         WHEN s217 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s222 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            END IF;
 
         WHEN s223 =>
 
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= "0000000" & zw_b2(0);
               ch_b_o <= "0000000" & zw_b2(0);
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G15_e1 =>
         WHEN s224 =>
            if ((rdy_i = '1' AND
            IF ((rdy_i = '1') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
 
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               d_regs_in_o <= d_i OR q_a_i;
               d_regs_in_o <= d_i OR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i OR q_a_i;
               ch_a_o <= d_i OR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
            elsif ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
 
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               d_regs_in_o <= d_i XOR q_a_i;
               d_regs_in_o <= d_i XOR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i XOR q_a_i;
               ch_a_o <= d_i XOR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
            elsif ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
 
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               d_regs_in_o <= d_i AND q_a_i;
               d_regs_in_o <= d_i AND q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i AND q_a_i;
               ch_a_o <= d_i AND q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
            elsif ((rdy_i = '1' AND
 
                   zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
 
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1') THEN
            elsif (rdy_i = '1' AND
 
                   zw_b2(0) = '0') then
 
               d_regs_in_o <= d_i;
               d_regs_in_o <= d_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN s225 =>
         when G15_e2 =>
            IF ((rdy_i = '1' AND
            if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                zw_b2(0) = '0') AND (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
                zw_REG_OP = X"01" or zw_REG_OP = X"11")) THEN
               d_regs_in_o <= d_i OR q_a_i;
               d_regs_in_o <= d_i OR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i OR q_a_i;
               ch_a_o <= d_i OR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' AND
            elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_b2(0) = '0') AND (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
                   zw_REG_OP = X"41" or zw_REG_OP = X"51")) THEN
               d_regs_in_o <= d_i XOR q_a_i;
               d_regs_in_o <= d_i XOR q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i XOR q_a_i;
               ch_a_o <= d_i XOR q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' AND
            elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_b2(0) = '0') AND (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                   zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
                    zw_REG_OP = X"21" or zw_REG_OP = X"31")) THEN
               d_regs_in_o <= d_i AND q_a_i;
               d_regs_in_o <= d_i AND q_a_i;
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i AND q_a_i;
               ch_a_o <= d_i AND q_a_i;
               ch_b_o <= X"00";
               ch_b_o <= X"00";
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF ((rdy_i = '1' AND
            elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_b2(0) = '0') AND (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                   zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
                    zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) THEN
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' AND
            elsif (rdy_i = '1') then
                   zw_b2(0) = '0') THEN
               d_regs_in_o <= d_i;
               d_regs_in_o <= d_i;
 
               load_regs_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"00";
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s226 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"C6" OR
 
                zw_REG_OP = X"E6")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"D6" OR
 
                   zw_REG_OP = X"F6")) THEN
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"CE" OR
 
                   zw_REG_OP = X"EE")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"DE" OR
 
                   zw_REG_OP = X"FE")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_x_i;
 
            END IF;
 
         WHEN s243 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s244 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s247 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s343 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= zw_b4;
 
            END IF;
 
         WHEN s250 =>
 
            IF (rdy_i = '1') THEN
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
               sig_D_OUT <= zw_b1;
 
            END IF;
 
         WHEN s251 =>
 
            ch_a_o <= zw_b1;
 
            ch_b_o <= X"00";
 
            sig_SYNC <= '1';
 
         WHEN s351 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"24") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"2C") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s361 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= q_a_i AND d_i;
 
               ch_b_o <= X"00";
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s360 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s403 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"1E" or
 
                zw_REG_OP = X"7E" or
 
                zw_REG_OP = X"3E" or
 
                zw_REG_OP = X"5E")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"06" or
 
                   zw_REG_OP = X"66" or
 
                   zw_REG_OP = X"26" or
 
                   zw_REG_OP = X"46")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"16" or
 
                   zw_REG_OP = X"76" or
 
                   zw_REG_OP = X"36" or
 
                   zw_REG_OP = X"56")) THEN
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"0E" or
 
                   zw_REG_OP = X"6E" or
 
                   zw_REG_OP = X"2E" or
 
                   zw_REG_OP = X"4E")) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s406 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s407 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s409 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s416 =>
 
            IF (rdy_i = '1' and
 
                (zw_REG_OP = X"06" or
 
                zw_REG_OP = X"16" or
 
                zw_REG_OP = X"0E" or
 
                zw_REG_OP = X"1E")) THEN
 
               sig_D_OUT <= d_i(6 downto 0) & '0';
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"46" or
 
                   zw_REG_OP = X"56" or
 
                   zw_REG_OP = X"4E" or
 
                   zw_REG_OP = X"5E")) THEN
 
               sig_D_OUT <= '0' & d_i(7 downto 1);
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"26" or
 
                   zw_REG_OP = X"36" or
 
                   zw_REG_OP = X"2E" or
 
                   zw_REG_OP = X"3E")) THEN
 
               sig_D_OUT <= d_i(6 downto 0) & reg_F(0);
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   (zw_REG_OP = X"66" or
 
                   zw_REG_OP = X"76" or
 
                   zw_REG_OP = X"6E" or
 
                   zw_REG_OP = X"7E")) THEN
 
               sig_D_OUT <= reg_F(0) & d_i(7 downto 1);
 
               sig_RWn <= '0';
 
               sig_RD <= '0';
 
               sig_WR <= '1';
 
            END IF;
 
         WHEN s418 =>
 
            ch_a_o <= zw_b1;
 
            ch_b_o <= X"00";
 
            sig_SYNC <= '1';
 
         WHEN s510 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"65") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"69" and
 
                   reg_F(3) = '0') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"75") THEN
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"6D") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"7D") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"79") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_y_i;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"71") THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"01";
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"61") THEN
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            ELSIF (rdy_i = '1' and
 
                   zw_REG_OP = X"69" and
 
                   reg_F(3) = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s553 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s555 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"01";
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s558 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_y_i;
 
            END IF;
 
         WHEN s560 =>
 
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s563 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            END IF;
 
         WHEN s564 =>
 
            IF (rdy_i = '1' AND
 
                zw_b2(0) = '0' and
 
                reg_F(3) = '0') THEN
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
 
                   reg_F(3) = '1') THEN
 
               d_regs_in_o <= zw_ALU(7 downto 0);
 
               load_regs_o <= '1';
 
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s565 =>
 
            IF (rdy_i = '1' and
 
                reg_F(3) = '0') THEN
 
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               ch_a_o <= d_i;
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
               ch_b_o <= X"00";
 
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' and
            end if;
                   reg_F(3) = '1') THEN
         when G15_e3 =>
               d_regs_in_o <= zw_ALU(7 downto 0);
            if (rdy_i = '1') then
               load_regs_o <= '1';
               ch_a_o <=  zw_b1;
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(2 downto 0));
 
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(2 downto 0));
 
 
 
               zw_ALU6(2 downto 0) <=  (zw_ALU2(4) OR (zw_ALU4(4))) & (zw_ALU2(4) OR (zw_ALU4(4))) & '0';
 
               zw_ALU5(2 downto 0) <=  (zw_ALU1(4) OR (zw_ALU3(4))) & (zw_ALU1(4) OR (zw_ALU3(4))) & '0';
 
 
 
               zw_ALU4 <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
 
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
 
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
 
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0);
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN s566 =>
 
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
            end if;
 
         when G16_1 =>
 
            if (rdy_i = '1' and
 
                zw_REG_OP = X"E5") then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            END IF;
 
         WHEN s266 =>
 
            IF (rdy_i = '1' and (
 
                (reg_F(0) = '1' and zw_REG_OP = X"90") or
 
                (reg_F(0) = '0' and zw_REG_OP = X"B0") or
 
                (reg_F(1) = '0' and zw_REG_OP = X"F0") or
 
                (reg_F(7) = '0' and zw_REG_OP = X"30") or
 
                (reg_F(1) = '1' and zw_REG_OP = X"D0") or
 
                (reg_F(7) = '1' and zw_REG_OP = X"10") or
 
                (reg_F(6) = '1' and zw_REG_OP = X"50") or
 
                (reg_F(6) = '0' and zw_REG_OP = X"70"))) THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s301 =>
 
            IF (rdy_i = '1' and
 
                zw_b3 = adr_nxt_pc_i (15 downto 8)) THEN
 
               offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
 
               zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
            ELSIF (rdy_i = '1') THEN
 
               offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
 
               zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s302 =>
 
            IF (rdy_i = '1') THEN
 
               sig_SYNC <= '1';
 
            END IF;
 
         WHEN RES =>
 
            sig_RWn <= '1';
 
            sig_RD <= '1';
 
            ld_o <= "11";
 
            ld_pc_o <= '1';
 
 
 
            ld_sp_o <= '1';
 
            sig_RWn <= '1';
 
            sig_RD <= '1';
 
         WHEN s511 =>
 
            IF (rdy_i = '1' and
 
                zw_REG_OP = X"E5") THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E9" and
                   zw_REG_OP = X"E9" and
                   reg_F(3) = '0') then
                   reg_F(3) = '0') THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' and
            elsif (rdy_i = '1' and
                   zw_REG_OP = X"F5") THEN
                   zw_REG_OP = X"F5") then
 
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"ED") then
                   zw_REG_OP = X"ED") THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"FD") then
                   zw_REG_OP = X"FD") THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"F9") then
                   zw_REG_OP = X"F9") THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"F1") then
                   zw_REG_OP = X"F1") THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E1") then
                   zw_REG_OP = X"E1") THEN
               ch_a_o <=  d_i;
               ch_a_o <=  d_i;
               ch_b_o <= q_x_i;
               ch_b_o <= q_x_i;
            elsif (rdy_i = '1' and
            ELSIF (rdy_i = '1' and
                   zw_REG_OP = X"E9" and
                   zw_REG_OP = X"E9" and
                   reg_F(3) = '1') then
                   reg_F(3) = '1') THEN
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
Line 4226... Line 4666...
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN s559 =>
         when G16_2 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G16_3 =>
         WHEN s562 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"01";
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G16_4 =>
         WHEN s567 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G16_5 =>
         WHEN s568 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= q_y_i;
               ch_b_o <= q_y_i;
            end if;
            END IF;
         when G16_6 =>
         WHEN s569 =>
            if (rdy_i = '1') then
            IF (rdy_i = '1') THEN
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            END IF;
 
         WHEN s571 =>
 
            IF (rdy_i = '1') THEN
               ch_a_o <= d_i;
               ch_a_o <= d_i;
               ch_b_o <= X"01";
               ch_b_o <= X"01";
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
            end if;
            END IF;
         when G16_e1 =>
         WHEN s572 =>
            if (rdy_i = '1' AND
            IF (rdy_i = '1') THEN
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            END IF;
 
         WHEN s573 =>
 
            IF (rdy_i = '1' AND
                zw_b2(0) = '0' and
                zw_b2(0) = '0' and
                reg_F(3) = '0') then
                reg_F(3) = '0') THEN
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' AND
            elsif (rdy_i = '1' AND
 
                   zw_b2(0) = '0' and
                   zw_b2(0) = '0' and
                   reg_F(3) = '1') then
                   reg_F(3) = '1') THEN
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5);
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5);
 
 
Line 4283... Line 4733...
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN s574 =>
         when G16_e2 =>
            IF (rdy_i = '1' and
            if (rdy_i = '1' and
                reg_F(3) = '0') THEN
                reg_F(3) = '0') then
 
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            ELSIF (rdy_i = '1' and
            elsif (rdy_i = '1' and
                   reg_F(3) = '1') THEN
                   reg_F(3) = '1') then
 
               d_regs_in_o <= zw_ALU(7 downto 0);
               d_regs_in_o <= zw_ALU(7 downto 0);
               load_regs_o <= '1';
               load_regs_o <= '1';
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
               zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6);
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5);
               zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5);
 
 
Line 4309... Line 4757...
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
               zw_ALU2 <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
 
 
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU3 <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               zw_ALU1 <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0);
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN s548 =>
         when G16_e3 =>
            IF (rdy_i = '1') THEN
            if (rdy_i = '1') then
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            end if;
 
         when G17_1 =>
 
            if (rdy_i = '1' and
 
                (zw_REG_OP = X"85" OR
 
                zw_REG_OP = X"86" OR
 
                zw_REG_OP = X"84")) then
 
               sig_WR <= '1';
 
               sig_D_OUT <= d_regs_out_i;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"95" OR
 
                   zw_REG_OP = X"94")) then
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            elsif (rdy_i = '1' and
 
                   (zw_REG_OP = X"8D" OR
 
                   zw_REG_OP = X"8E" OR
 
                   zw_REG_OP = X"8C")) then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"9D") then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_x_i;
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"99") then
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_y_i;
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"91") then
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"01";
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"81") then
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_x_i;
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"96") then
 
               ch_a_o <=  d_i;
 
               ch_b_o <= q_y_i;
 
            end if;
 
         when G17_10 =>
 
            sig_WR <= '1';
 
            sig_D_OUT <= d_regs_out_i;
 
            ld_o <= "11";
 
            ld_pc_o <= '1';
 
         when G17_2 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= d_regs_out_i;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G17_3 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= d_regs_out_i;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G17_4 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G17_5 =>
 
            sig_WR <= '1';
 
            sig_D_OUT <= d_regs_out_i;
 
         when G17_6 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= d_i;
 
               ch_b_o <= q_y_i;
 
            end if;
 
         when G17_7 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= d_i;
 
               ch_b_o <= "0000000" & zw_b2(0);
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G17_9 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <=  zw_b1;
 
               ch_b_o <= X"01";
 
            end if;
 
         when G17_e =>
 
            sig_SYNC <= '1';
 
            fetch_o <= '1';
 
         when G18_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
               ld_pc_o <= '1';
 
               sig_WR <= '1';
 
               sig_D_OUT <= adr_pc_i (15 downto 8);
 
            end if;
 
         when G18_2 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_WR <= '1';
 
            sig_D_OUT <= adr_pc_i (7 downto 0);
 
         when G18_3 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_WR <= '1';
 
            sig_D_OUT <= reg_F OR X"10";
 
         when G18_e =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G19_1 =>
 
            if (rdy_i = '1') then
 
               d_regs_in_o <= d_alu_i;
 
               ch_a_o <= d_regs_out_i;
 
               ch_b_o <= zw_b4;
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G1_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G20_2 =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G20_e =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G21_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
               ld_pc_o <= '1';
 
            end if;
 
         when G21_2 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= adr_pc_i (15 downto 8);
 
            end if;
 
         when G21_3 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_WR <= '1';
 
            sig_D_OUT <= adr_pc_i (7 downto 0);
 
         when G21_e =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G22_1 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= q_a_i;
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G22_e =>
 
            sig_SYNC <= '1';
 
            fetch_o <= '1';
 
         when G23_1 =>
 
            if (rdy_i = '1') then
 
               sig_WR <= '1';
 
               sig_D_OUT <= reg_F;
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G23_e =>
 
            sig_SYNC <= '1';
 
            fetch_o <= '1';
 
         when G24_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G24_e =>
 
            if (rdy_i = '1') then
 
               d_regs_in_o <= d_i;
 
               load_regs_o <= '1';
 
               ch_a_o <= d_i;
 
               ch_b_o <= X"00";
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G25_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G25_e =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G26_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G26_2 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G26_3 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G26_e =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G27_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G27_2 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
            end if;
 
         when G27_4 =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
 
               ld_o <= "11";
 
               ld_pc_o <= '1';
 
            end if;
 
         when G27_e =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G28_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
               ld_pc_o <= '1';
 
               sig_WR <= '1';
 
               sig_D_OUT <= adr_pc_i (15 downto 8);
 
            end if;
 
         when G28_2 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_WR <= '1';
 
            sig_D_OUT <= adr_pc_i (7 downto 0);
 
         when G28_3 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
            sig_WR <= '1';
 
            sig_D_OUT <= reg_F;
 
         when G28_e =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G29_1 =>
 
            if (rdy_i = '1') then
 
               ld_o <= "11";
               ld_o <= "11";
               ld_sp_o <= '1';
               ld_sp_o <= '1';
               ld_pc_o <= '1';
               sig_RWn <= '0';
 
               sig_RD <= '0';
               sig_WR <= '1';
               sig_WR <= '1';
               sig_D_OUT <= adr_pc_i (15 downto 8);
               sig_D_OUT <= adr_pc_i (15 downto 8);
            end if;
            END IF;
         when G29_2 =>
         WHEN s551 =>
            ld_o <= "11";
            ld_o <= "11";
            ld_sp_o <= '1';
            ld_sp_o <= '1';
 
            sig_RWn <= '0';
 
            sig_RD <= '0';
            sig_WR <= '1';
            sig_WR <= '1';
            sig_D_OUT <= adr_pc_i (7 downto 0);
            sig_D_OUT <= adr_pc_i (7 downto 0);
         when G29_3 =>
         WHEN s552 =>
            ld_o <= "11";
            ld_o <= "11";
            ld_sp_o <= '1';
            ld_sp_o <= '1';
 
            sig_RWn <= '0';
 
            sig_RD <= '0';
            sig_WR <= '1';
            sig_WR <= '1';
            sig_D_OUT <= reg_F;
            sig_D_OUT <= reg_F;
         when G29_e =>
         WHEN s576 =>
            if (rdy_i = '1') then
            IF (NMI_i = '1') THEN
               adr_o <= d_i & zw_b1;
               rst_nmi_o <= '1';
               ld_o <= "11";
            END IF;
               ld_pc_o <= '1';
         WHEN s577 =>
               sig_SYNC <= '1';
            IF (rdy_i = '1') THEN
               fetch_o <= '1';
 
            end if;
 
         when G2_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G30_1 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
         when G30_2 =>
 
            ld_o <= "11";
 
            ld_sp_o <= '1';
 
         when G30_3 =>
 
            adr_o <= X"FFFB";
 
            ld_o <= "11";
 
            ld_pc_o <= '1';
 
         when G30_4 =>
 
            ld_o <= "11";
 
            ld_pc_o <= '1';
 
         when G30_e =>
 
            if (rdy_i = '1') then
 
               adr_o <= d_i & zw_b1;
               adr_o <= d_i & zw_b1;
               ld_o <= "11";
               ld_o <= "11";
               ld_pc_o <= '1';
               ld_pc_o <= '1';
               sig_SYNC <= '1';
               sig_SYNC <= '1';
               fetch_o <= '1';
            END IF;
            end if;
         WHEN OTHERS =>
         when G31_1 =>
            NULL;
            if (rdy_i = '1') then
      END CASE;
               ch_a_o <= q_a_i (6 downto 0) & '0';
   END PROCESS output_proc;
               ch_b_o <= X"00";
 
               d_regs_in_o <= q_a_i (6 downto 0) & '0';
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G32_1 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= '0' & q_a_i (7 downto 1);
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= '0' & q_a_i (7 downto 1);
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G33_1 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= q_a_i (6 downto 0) & reg_F(0);
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= q_a_i (6 downto 0) & reg_F(0);
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G34_1 =>
 
            if (rdy_i = '1') then
 
               ch_a_o <= reg_F(0) & q_a_i (7 downto 1);
 
               ch_b_o <= X"00";
 
               d_regs_in_o <= reg_F(0) & q_a_i (7 downto 1);
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G3_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G4_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G5_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G6_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G7_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G8_1 =>
 
            if (rdy_i = '1') then
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when G9_1 =>
 
            if (rdy_i = '1' and
 
                zw_REG_OP = X"9A") then
 
               adr_o <= X"01" & d_regs_out_i;
 
               ld_o <= "11";
 
               ld_sp_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            elsif (rdy_i = '1' and
 
                   zw_REG_OP = X"BA") then
 
               d_regs_in_o <= adr_sp_i (7 downto 0);
 
               ch_a_o <= adr_sp_i (7 downto 0);
 
               ch_b_o <= X"00";
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            elsif (rdy_i = '1') then
 
               ch_a_o <= d_regs_out_i;
 
               ch_b_o <= X"00";
 
               load_regs_o <= '1';
 
               sig_SYNC <= '1';
 
               fetch_o <= '1';
 
            end if;
 
         when RES =>
 
            ld_o <= "11";
 
            ld_pc_o <= '1';
 
            ld_sp_o <= '1';
 
         when others =>
 
            null;
 
      end case;
 
   end process output_proc;
 
 
 
   -- Concurrent Statements
   -- Concurrent Statements
   -- Clocked output assignments
   -- Clocked output assignments
   d_o <= d_o_cld;
   d_o <= d_o_cld;
   rd_o <= rd_o_cld;
   rd_o <= rd_o_cld;
   sync_o <= sync_o_cld;
   sync_o <= sync_o_cld;
 
   wr_n_o <= wr_n_o_cld;
   wr_o <= wr_o_cld;
   wr_o <= wr_o_cld;
end fsm;
END fsm;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.