OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_pc.vhd] - Diff between revs 18 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 24
Line 1... Line 1...
-- VHDL Entity R6502_TC.Reg_PC.symbol
-- VHDL Entity R6502_TC.Reg_PC.symbol
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (TEST)
--          by - eda.UNKNOWN (ENTW1)
--          at - 19:25:31 10.02.2009
--          at - 18:39:48 08.02.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
Line 28... Line 28...
 
 
END Reg_PC ;
END Reg_PC ;
 
 
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- scantara2003@yahoo.de                      
-- scantara2003@yahoo.de                      
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                
-- COPYRIGHT (C) 2008-2010  by Jens Gutschmidt and OPENCORES.ORG                                                                               
--                                                                                                                                             
--                                                                                                                                             
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
--                                                                                                                                             
--                                                                                                                                             
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
Line 40... Line 40...
--                                                                                                                                             
--                                                                                                                                             
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
--                                                                                                                                             
--                                                                                                                                             
-- CVS Revisins History                                                                                                                        
-- CVS Revisins History                                                                                                                        
--                                                                                                                                             
--                                                                                                                                             
-- $Log: not supported by cvs2svn $                                                                                                                         
-- $Log: struct.bd,v $                                                                                                                         
--   <<-- more -->>                                                                                                                            
--   <<-- more -->>                                                                                                                            
-- Title:  Program Counter Logic  
-- Title:  Program Counter Logic  
-- Path:  R6502_TC/Reg_PC/struct  
-- Path:  R6502_TC/Reg_PC/struct  
-- Edited:  by eda on 10 Feb 2009  
-- Edited:  by eda on 08 Feb 2010  
--
--
-- VHDL Architecture R6502_TC.Reg_PC.struct
-- VHDL Architecture R6502_TC.Reg_PC.struct
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (TEST)
--          by - eda.UNKNOWN (ENTW1)
--          at - 19:25:32 10.02.2009
--          at - 18:39:49 08.02.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
Line 78... Line 78...
   SIGNAL val_o_i         : std_logic_vector(7 DOWNTO 0);
   SIGNAL val_o_i         : std_logic_vector(7 DOWNTO 0);
   SIGNAL val_one         : std_logic_vector(7 DOWNTO 0);
   SIGNAL val_one         : std_logic_vector(7 DOWNTO 0);
   SIGNAL val_zero        : std_logic_vector(7 DOWNTO 0);
   SIGNAL val_zero        : std_logic_vector(7 DOWNTO 0);
 
 
   -- Implicit buffer signal declarations
   -- Implicit buffer signal declarations
   SIGNAL adr_pc_o_internal     : std_logic_vector (15 DOWNTO 0);
 
   SIGNAL adr_nxt_pc_o_internal : std_logic_vector (15 DOWNTO 0);
   SIGNAL adr_nxt_pc_o_internal : std_logic_vector (15 DOWNTO 0);
 
   SIGNAL adr_pc_o_internal     : std_logic_vector (15 DOWNTO 0);
 
 
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
   SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
   SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
 
 
Line 130... Line 130...
 
 
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
   u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   BEGIN
   BEGIN
      IF (rst_rst_n_i = '0' OR rst_rst_n_i = 'L') THEN
      IF (rst_rst_n_i = '0') THEN
         mw_U_0reg_cval <= "00000000";
         mw_U_0reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
         IF (load_o_i = '1' OR load_o_i = 'H') THEN
         IF (load_o_i = '1') THEN
            mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
            mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
         END IF;
         END IF;
      END IF;
      END IF;
   END PROCESS u_0seq_proc;
   END PROCESS u_0seq_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
   u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   BEGIN
   BEGIN
      IF (rst_rst_n_i = '0' OR rst_rst_n_i = 'L') THEN
      IF (rst_rst_n_i = '0') THEN
         mw_U_4reg_cval <= "00000000";
         mw_U_4reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
         IF (load3_o_i = '1' OR load3_o_i = 'H') THEN
         IF (load3_o_i = '1') THEN
            mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
            mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
         END IF;
         END IF;
      END IF;
      END IF;
   END PROCESS u_4seq_proc;
   END PROCESS u_4seq_proc;
 
 
Line 171... Line 171...
 
 
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
   u_8combo_proc: PROCESS(adr_pc_o_internal, adr_i, sel_pc_in_i)
   u_8combo_proc: PROCESS(adr_pc_o_internal, adr_i, sel_pc_in_i)
   BEGIN
   BEGIN
      CASE sel_pc_in_i IS
      CASE sel_pc_in_i IS
      WHEN '0'|'L' => adr_pc_o_i <= adr_pc_o_internal;
      WHEN '0' => adr_pc_o_i <= adr_pc_o_internal;
      WHEN '1'|'H' => adr_pc_o_i <= adr_i;
      WHEN '1' => adr_pc_o_i <= adr_i;
      WHEN OTHERS => adr_pc_o_i <= (OTHERS => 'X');
      WHEN OTHERS => adr_pc_o_i <= (OTHERS => 'X');
      END CASE;
      END CASE;
   END PROCESS u_8combo_proc;
   END PROCESS u_8combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_13' of 'mux'
   -- ModuleWare code(v1.9) for instance 'U_13' of 'mux'
   u_13combo_proc: PROCESS(val_one, val_zero, offset_low_o_i,
   u_13combo_proc: PROCESS(val_one, val_zero, offset_low_o_i,
                           sel_pc_val_i)
                           sel_pc_val_i)
   BEGIN
   BEGIN
      CASE sel_pc_val_i IS
      CASE sel_pc_val_i IS
      WHEN "00"|"L0"|"0L"|"LL" => val_o_i <= val_one;
      WHEN "00" => val_o_i <= val_one;
      WHEN "01"|"L1"|"0H"|"LH" => val_o_i <= val_zero;
      WHEN "01" => val_o_i <= val_zero;
      WHEN "10"|"H0"|"1L"|"HL" => val_o_i <= offset_low_o_i;
      WHEN "10" => val_o_i <= offset_low_o_i;
      WHEN "11"|"H1"|"1H"|"HH" => val_o_i <= val_zero;
      WHEN "11" => val_o_i <= val_zero;
      WHEN OTHERS => val_o_i <= (OTHERS => 'X');
      WHEN OTHERS => val_o_i <= (OTHERS => 'X');
      END CASE;
      END CASE;
   END PROCESS u_13combo_proc;
   END PROCESS u_13combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_3' of 'split'
   -- ModuleWare code(v1.9) for instance 'U_3' of 'split'
Line 213... Line 213...
   END PROCESS u_5combo_proc;
   END PROCESS u_5combo_proc;
 
 
   -- Instance port mappings.
   -- Instance port mappings.
 
 
   -- Implicit buffered output assignments
   -- Implicit buffered output assignments
   adr_pc_o     <= adr_pc_o_internal;
 
   adr_nxt_pc_o <= adr_nxt_pc_o_internal;
   adr_nxt_pc_o <= adr_nxt_pc_o_internal;
 
   adr_pc_o     <= adr_pc_o_internal;
 
 
END struct;
END struct;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.