OpenCores
URL https://opencores.org/ocsvn/cpu8080/cpu8080/trunk

Subversion Repositories cpu8080

[/] [cpu8080/] [trunk/] [project/] [cpu_tbw.ant] - Diff between revs 9 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 11
Line 6... Line 6...
//  /   /\/   /
//  /   /\/   /
// /___/  \  /    Vendor: Xilinx
// /___/  \  /    Vendor: Xilinx
// \   \   \/     Version : 8.2.02i
// \   \   \/     Version : 8.2.02i
//  \   \         Application : ISE
//  \   \         Application : ISE
//  /   /         Filename : cpu_tbw.ant
//  /   /         Filename : cpu_tbw.ant
// /___/   /\     Timestamp : Fri Oct 20 21:19:57 2006
// /___/   /\     Timestamp : Sat Oct 28 09:12:59 2006
// \   \  /  \
// \   \  /  \
//  \___\/\___\
//  \___\/\___\
//
//
//Command:
//Command:
//Design Name: cpu_tbw
//Design Name: cpu_tbw
Line 18... Line 18...
//
//
`timescale 1ns/1ps
`timescale 1ns/1ps
 
 
module cpu_tbw;
module cpu_tbw;
    wire [15:0] addr;
    wire [15:0] addr;
    reg [7:0] data$inout$reg = 8'bZZZZZZZZ;
    reg [7:0] data$inout$reg = 8'bZ1Z00000;
    wire [7:0] data = data$inout$reg;
    wire [7:0] data = data$inout$reg;
    wire readmem;
    wire readmem;
    wire writemem;
    wire writemem;
    wire readio;
    wire readio;
    wire writeio;
    wire writeio;
    wire intr;
    wire intr;
    wire inta;
    wire inta;
    reg waitr = 1'b0;
    reg waitr = 1'b0;
    reg reset = 1'b1;
    wire [2:0] r;
 
    wire [2:0] g;
 
    wire [2:0] b;
 
    wire hsync_n;
 
    wire vsync_n;
 
    reg reset = 1'b0;
    reg clock = 1'b0;
    reg clock = 1'b0;
 
 
    parameter PERIOD = 200;
    parameter PERIOD = 200;
    parameter real DUTY_CYCLE = 0.5;
    parameter real DUTY_CYCLE = 0.5;
    parameter OFFSET = 0;
    parameter OFFSET = 0;
Line 55... Line 60...
        .readio(readio),
        .readio(readio),
        .writeio(writeio),
        .writeio(writeio),
        .intr(intr),
        .intr(intr),
        .inta(inta),
        .inta(inta),
        .waitr(waitr),
        .waitr(waitr),
 
        .r(r),
 
        .g(g),
 
        .b(b),
 
        .hsync_n(hsync_n),
 
        .vsync_n(vsync_n),
        .reset(reset),
        .reset(reset),
        .clock(clock));
        .clock(clock));
 
 
    integer TX_FILE = 0;
    integer TX_FILE = 0;
    integer TX_ERROR = 0;
    integer TX_ERROR = 0;
Line 70... Line 80...
        ANNOTATE_writemem;
        ANNOTATE_writemem;
        ANNOTATE_readio;
        ANNOTATE_readio;
        ANNOTATE_writeio;
        ANNOTATE_writeio;
        ANNOTATE_intr;
        ANNOTATE_intr;
        ANNOTATE_inta;
        ANNOTATE_inta;
 
        ANNOTATE_r;
 
        ANNOTATE_g;
 
        ANNOTATE_b;
 
        ANNOTATE_hsync_n;
 
        ANNOTATE_vsync_n;
        #OFFSET;
        #OFFSET;
        forever begin
        forever begin
            #115;
            #115;
            ANNOTATE_addr;
            ANNOTATE_addr;
            ANNOTATE_readmem;
            ANNOTATE_readmem;
            ANNOTATE_writemem;
            ANNOTATE_writemem;
            ANNOTATE_readio;
            ANNOTATE_readio;
            ANNOTATE_writeio;
            ANNOTATE_writeio;
            ANNOTATE_intr;
            ANNOTATE_intr;
            ANNOTATE_inta;
            ANNOTATE_inta;
 
            ANNOTATE_r;
 
            ANNOTATE_g;
 
            ANNOTATE_b;
 
            ANNOTATE_hsync_n;
 
            ANNOTATE_vsync_n;
            #85;
            #85;
        end
        end
    end
    end
 
 
    initial begin  // Open the annotations file...
    initial begin  // Open the annotations file...
        TX_FILE = $fopen("C:\\Xilinx\\ISEexamples\\cpu8080\\cpu_tbw.ano");
        TX_FILE = $fopen("C:\\Xilinx\\ISEexamples\\cpu8080\\cpu_tbw.ano");
        #10200 // Final time:  10200 ns
        #100200 // Final time:  100200 ns
        $display("Success! Annotation Simulation Complete.");
        $display("Success! Annotation Simulation Complete.");
        $fdisplay(TX_FILE, "Total[%d]", TX_ERROR);
        $fdisplay(TX_FILE, "Total[%d]", TX_ERROR);
        $fclose(TX_FILE);
        $fclose(TX_FILE);
        $finish;
        $finish;
    end
    end
 
 
    initial begin
    initial begin
        // -------------  Current Time:  485ns
        // -------------  Current Time:  85ns
        #485;
        #85;
 
        reset = 1'b1;
        reset = 1'b0;
        reset = 1'b0;
        // -------------------------------------
        // -------------------------------------
    end
    end
 
 
    task ANNOTATE_addr;
    task ANNOTATE_addr;
Line 156... Line 177...
            $fflush(TX_FILE);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
            TX_ERROR = TX_ERROR + 1;
        end
        end
    endtask
    endtask
 
 
 
    task ANNOTATE_r;
 
        #0 begin
 
            $fdisplay(TX_FILE, "Annotate[%d,r,%b]", $time, r);
 
            $fflush(TX_FILE);
 
            TX_ERROR = TX_ERROR + 1;
 
        end
 
    endtask
 
 
 
    task ANNOTATE_g;
 
        #0 begin
 
            $fdisplay(TX_FILE, "Annotate[%d,g,%b]", $time, g);
 
            $fflush(TX_FILE);
 
            TX_ERROR = TX_ERROR + 1;
 
        end
 
    endtask
 
 
 
    task ANNOTATE_b;
 
        #0 begin
 
            $fdisplay(TX_FILE, "Annotate[%d,b,%b]", $time, b);
 
            $fflush(TX_FILE);
 
            TX_ERROR = TX_ERROR + 1;
 
        end
 
    endtask
 
 
 
    task ANNOTATE_hsync_n;
 
        #0 begin
 
            $fdisplay(TX_FILE, "Annotate[%d,hsync_n,%b]", $time, hsync_n);
 
            $fflush(TX_FILE);
 
            TX_ERROR = TX_ERROR + 1;
 
        end
 
    endtask
 
 
 
    task ANNOTATE_vsync_n;
 
        #0 begin
 
            $fdisplay(TX_FILE, "Annotate[%d,vsync_n,%b]", $time, vsync_n);
 
            $fflush(TX_FILE);
 
            TX_ERROR = TX_ERROR + 1;
 
        end
 
    endtask
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.