OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_15/] [rtl/] [verilog/] [dbg_defines.v] - Diff between revs 9 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 11
Line 43... Line 43...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2001/09/20 10:11:25  mohor
 
// Working version. Few bugs fixed, comments added.
 
//
// Revision 1.2  2001/09/18 14:13:47  mohor
// Revision 1.2  2001/09/18 14:13:47  mohor
// Trace fixed. Some registers changed, trace simplified.
// Trace fixed. Some registers changed, trace simplified.
//
//
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
// Initial official release.
// Initial official release.
Line 95... Line 98...
 
 
// OpSelect width
// OpSelect width
`define OPSELECTWIDTH            3
`define OPSELECTWIDTH            3
`define OPSELECTIONCOUNTER       8    //2^3
`define OPSELECTIONCOUNTER       8    //2^3
 
 
 
// OpSelect (dbg_op_i) signal meaning
 
`define DEBUG_READ_PC            0
 
`define DEBUG_READ_LSEA          1
 
`define DEBUG_READ_LDATA         2
 
`define DEBUG_READ_SDATA         3
 
`define DEBUG_READ_SPR           4
 
`define DEBUG_WRITE_SPR          5
 
`define DEBUG_READ_INSTR         6
 
//`define Reserved                 7
 
 
// Supported Instructions
// Supported Instructions
`define EXTEST          5'b00000
`define EXTEST          5'b00000
`define SAMPLE_PRELOAD  5'b00001
`define SAMPLE_PRELOAD  5'b00001
`define IDCODE          5'b00010
`define IDCODE          5'b00010
`define CHAIN_SELECT    5'b00011
`define CHAIN_SELECT    5'b00011

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.