OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [sdram_test_working/] [rtl/] [verilog/] [dbg_register.v] - Diff between revs 20 and 44

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 44
Line 43... Line 43...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2001/11/26 10:47:09  mohor
 
// Crc generation is different for read or write commands. Small synthesys fixes.
 
//
// Revision 1.2  2001/10/19 11:40:02  mohor
// Revision 1.2  2001/10/19 11:40:02  mohor
// dbg_timescale.v changed to timescale.v This is done for the simulation of
// dbg_timescale.v changed to timescale.v This is done for the simulation of
// few different cores in a single project.
// few different cores in a single project.
//
//
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
Line 59... Line 62...
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
 
 
module dbg_register(DataIn, DataOut, Write, Clk, Reset, Default);
module dbg_register(data_in, data_out, write, clk, reset, defaulty);
 
 
parameter WIDTH = 8; // default parameter of the register width
parameter WIDTH = 8; // default parameter of the register width
 
 
input [WIDTH-1:0] DataIn;
input [WIDTH-1:0] data_in;
 
 
input Write;
input write;
input Clk;
input clk;
input Reset;
input reset;
input [WIDTH-1:0] Default;
input [WIDTH-1:0] defaulty;
 
 
output [WIDTH-1:0] DataOut;
output [WIDTH-1:0] data_out;
reg    [WIDTH-1:0] DataOut;
reg    [WIDTH-1:0] data_out;
 
 
//always @ (posedge Clk or posedge Reset)
//always @ (posedge clk or posedge reset)
always @ (posedge Clk)
always @ (posedge clk)
begin
begin
  if(Reset)
  if(reset)
    DataOut[WIDTH-1:0]<=#1 Default;
    data_out[WIDTH-1:0]<=#1 defaulty;
  else
  else
    begin
    begin
      if(Write)                         // write
      if(write)                         // write
        DataOut[WIDTH-1:0]<=#1 DataIn[WIDTH-1:0];
        data_out[WIDTH-1:0]<=#1 data_in[WIDTH-1:0];
    end
    end
end
end
 
 
 
 
endmodule   // Register
endmodule   // Register

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.