OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys.ucf] - Diff between revs 4 and 7

Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 45... Line 45...
 NET "led_o<2>" LOC = "N14"; # Bank = 1, Pin name = IO_L53N_VREF,          Sch name = LD2
 NET "led_o<2>" LOC = "N14"; # Bank = 1, Pin name = IO_L53N_VREF,          Sch name = LD2
 NET "led_o<3>" LOC = "L14"; # Bank = 1, Pin name = IO_L61P,               Sch name = LD3
 NET "led_o<3>" LOC = "L14"; # Bank = 1, Pin name = IO_L61P,               Sch name = LD3
 NET "led_o<4>" LOC = "M13"; # Bank = 1, Pin name = IO_L61N,               Sch name = LD4
 NET "led_o<4>" LOC = "M13"; # Bank = 1, Pin name = IO_L61N,               Sch name = LD4
 NET "led_o<5>" LOC = "D4";  # Bank = 0, Pin name = IO_L1P_HSWAPEN_0,      Sch name = HSWAP/LD5
 NET "led_o<5>" LOC = "D4";  # Bank = 0, Pin name = IO_L1P_HSWAPEN_0,      Sch name = HSWAP/LD5
 NET "led_o<6>" LOC = "P16"; # Bank = 1, Pin name = IO_L74N_DOUT_BUSY_1,   Sch name = LD6
 NET "led_o<6>" LOC = "P16"; # Bank = 1, Pin name = IO_L74N_DOUT_BUSY_1,   Sch name = LD6
 NET "led_o<7>" LOC = "N12"; # Bank = 2, Pin name = IO_L13P_M1_2,          Sch name = M1/LD7
# NET "led_o<7>" LOC = "N12"; # Bank = 2, Pin name = IO_L13P_M1_2,          Sch name = M1/LD7
 
 
# onBoard PUSH BUTTONS
# onBoard PUSH BUTTONS
# NET "btn_i<0>" LOC = "T15";     # Bank = 2, Pin name = IO_L1N_M0_CMPMISO_2, Sch name = M0/RESET
# NET "btn_i<0>" LOC = "T15";     # Bank = 2, Pin name = IO_L1N_M0_CMPMISO_2, Sch name = M0/RESET
# NET "btn_i<1>" LOC = "N4";      # Bank = 3, Pin name = IO_L1P,              Sch name = BTNU
# NET "btn_i<1>" LOC = "N4";      # Bank = 3, Pin name = IO_L1P,              Sch name = BTNU
# NET "btn_i<2>" LOC = "P4";      # Bank = 3, Pin name = IO_L2P,              Sch name = BTNL
# NET "btn_i<2>" LOC = "P4";      # Bank = 3, Pin name = IO_L2P,              Sch name = BTNL
Line 63... Line 63...
 NET "sw_i<2>" LOC = "C14";   # Bank = 0, Pin name = IO_L65N_SCP2,          Sch name = SW2
 NET "sw_i<2>" LOC = "C14";   # Bank = 0, Pin name = IO_L65N_SCP2,          Sch name = SW2
 NET "sw_i<3>" LOC = "P15";   # Bank = 1, Pin name = IO_L74P_AWAKE_1,       Sch name = SW3
 NET "sw_i<3>" LOC = "P15";   # Bank = 1, Pin name = IO_L74P_AWAKE_1,       Sch name = SW3
 NET "sw_i<4>" LOC = "P12";   # Bank = 2, Pin name = IO_L13N_D10,           Sch name = SW4
 NET "sw_i<4>" LOC = "P12";   # Bank = 2, Pin name = IO_L13N_D10,           Sch name = SW4
 NET "sw_i<5>" LOC = "R5";    # Bank = 2, Pin name = IO_L48P_D7,            Sch name = SW5
 NET "sw_i<5>" LOC = "R5";    # Bank = 2, Pin name = IO_L48P_D7,            Sch name = SW5
 NET "sw_i<6>" LOC = "T5";    # Bank = 2, Pin name = IO_L48N_RDWR_B_VREF_2, Sch name = SW6
 NET "sw_i<6>" LOC = "T5";    # Bank = 2, Pin name = IO_L48N_RDWR_B_VREF_2, Sch name = SW6
 NET "sw_i<7>" LOC = "E4";    # Bank = 3, Pin name = IO_L54P_M3RESET,       Sch name = SW7
# NET "sw_i<7>" LOC = "E4";    # Bank = 3, Pin name = IO_L54P_M3RESET,       Sch name = SW7
 
 
# TEMAC Ethernet MAC
# TEMAC Ethernet MAC
# NET "phyrst"    LOC = "G13"; # Bank = 1, Pin name = IO_L32N_A16_M1A9,         Sch name = E-RESET
# NET "phyrst"    LOC = "G13"; # Bank = 1, Pin name = IO_L32N_A16_M1A9,         Sch name = E-RESET
# NET "phytxclk"  LOC = "K16"; # Bank = 1, Pin name = IO_L41N_GCLK8_M1CASN,     Sch name = E-TXCLK
# NET "phytxclk"  LOC = "K16"; # Bank = 1, Pin name = IO_L41N_GCLK8_M1CASN,     Sch name = E-TXCLK
 
 
Line 204... Line 204...
# NET "AUDSDO"   LOC = "N16"; # Bank = 1, Pin name = IO_L50N_M1UDQSN,     Sch name = AUD-SDO
# NET "AUDSDO"   LOC = "N16"; # Bank = 1, Pin name = IO_L50N_M1UDQSN,     Sch name = AUD-SDO
# NET "AUDSYNC"  LOC = "U17"; # Bank = 1, Pin name = IO_L52P_M1DQ14,      Sch name = AUD-SYNC
# NET "AUDSYNC"  LOC = "U17"; # Bank = 1, Pin name = IO_L52P_M1DQ14,      Sch name = AUD-SYNC
# NET "AUDRST"   LOC = "T17"; # Bank = 1, Pin name = IO_L51P_M1DQ12,      Sch name = AUD-RESET
# NET "AUDRST"   LOC = "T17"; # Bank = 1, Pin name = IO_L51P_M1DQ12,      Sch name = AUD-RESET
 
 
# PMOD Connector
# PMOD Connector
 NET "strb_o"           LOC = "T3"; # Bank = 2,  Pin name = IO_L62N_D6,     PMOD JB<1>,  Sch name = JA-D0_N
# NET "m_state_o<0>"     LOC = "T3"; # Bank = 2,  Pin name = IO_L62N_D6,     PMOD JB<1>,  Sch name = JA-D0_N
# NET "m_state_o<1>"     LOC = "R3"; # Bank = 2,  Pin name = IO_L62P_D5,     PMOD JB<2>,  Sch name = JA-D0_P
# NET "m_state_o<1>"     LOC = "R3"; # Bank = 2,  Pin name = IO_L62P_D5,     PMOD JB<2>,  Sch name = JA-D0_P
# NET "m_state_o<2>"     LOC = "P6"; # Bank = 2,  Pin name = IO_L64N_D9,     PMOD JB<3>,  Sch name = JA-D2_N
# NET "m_state_o<2>"     LOC = "P6"; # Bank = 2,  Pin name = IO_L64N_D9,     PMOD JB<3>,  Sch name = JA-D2_N
# NET "m_state_o<3>"     LOC = "N5"; # Bank = 2,  Pin name = IO_L64P_D8,     PMOD JB<4>,  Sch name = JA-D2_P
# NET "m_state_o<3>"     LOC = "N5"; # Bank = 2,  Pin name = IO_L64P_D8,     PMOD JB<4>,  Sch name = JA-D2_P
# NET "s_state_o<0>"     LOC = "V9"; # Bank = 2,  Pin name = IO_L32N_GCLK28, PMOD JB<7>,  Sch name = JA-CLK_N
# NET "s_state_o<0>"     LOC = "V9"; # Bank = 2,  Pin name = IO_L32N_GCLK28, PMOD JB<7>,  Sch name = JA-CLK_N
# NET "s_state_o<1>"     LOC = "T9"; # Bank = 2,  Pin name = IO_L32P_GCLK29, PMOD JB<8>,  Sch name = JA-CLK_P
# NET "s_state_o<1>"     LOC = "T9"; # Bank = 2,  Pin name = IO_L32P_GCLK29, PMOD JB<8>,  Sch name = JA-CLK_P

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.