OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys_top.par] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 1... Line 1...
Release 13.1 par O.40d (nt)
Release 13.1 par O.40d (nt)
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
 
 
DEVELOP-W7::  Thu Aug 11 00:07:18 2011
DEVELOP-W7::  Thu Aug 11 21:31:46 2011
 
 
par -w -intstyle ise -ol high -xe n -mt 4 debounce_atlys_top_map.ncd
par -w -intstyle ise -ol high -xe n -mt 4 debounce_atlys_top_map.ncd
debounce_atlys_top.ncd debounce_atlys_top.pcf
debounce_atlys_top.ncd debounce_atlys_top.pcf
 
 
 
 
Line 25... Line 25...
 
 
 
 
Device Utilization Summary:
Device Utilization Summary:
 
 
Slice Logic Utilization:
Slice Logic Utilization:
  Number of Slice Registers:                    46 out of  54,576    1%
  Number of Slice Registers:                    42 out of  54,576    1%
    Number used as Flip Flops:                  46
    Number used as Flip Flops:                  42
    Number used as Latches:                      0
    Number used as Latches:                      0
    Number used as Latch-thrus:                  0
    Number used as Latch-thrus:                  0
    Number used as AND/OR logics:                0
    Number used as AND/OR logics:                0
  Number of Slice LUTs:                         43 out of  27,288    1%
  Number of Slice LUTs:                         37 out of  27,288    1%
    Number used as logic:                       38 out of  27,288    1%
    Number used as logic:                       36 out of  27,288    1%
      Number using O6 output only:              18
      Number using O6 output only:              18
      Number using O5 output only:              12
      Number using O5 output only:              11
      Number using O5 and O6:                    8
      Number using O5 and O6:                    7
      Number used as ROM:                        0
      Number used as ROM:                        0
    Number used as Memory:                       0 out of   6,408    0%
    Number used as Memory:                       0 out of   6,408    0%
    Number used exclusively as route-thrus:      5
    Number used exclusively as route-thrus:      1
      Number with same-slice register load:      4
      Number with same-slice register load:      0
      Number with same-slice carry load:         1
      Number with same-slice carry load:         1
      Number with other load:                    0
      Number with other load:                    0
 
 
Slice Logic Distribution:
Slice Logic Distribution:
  Number of occupied Slices:                    17 out of   6,822    1%
  Number of occupied Slices:                    19 out of   6,822    1%
  Number of LUT Flip Flop pairs used:           57
  Number of LUT Flip Flop pairs used:           56
    Number with an unused Flip Flop:            21 out of      57   36%
    Number with an unused Flip Flop:            20 out of      56   35%
    Number with an unused LUT:                  14 out of      57   24%
    Number with an unused LUT:                  19 out of      56   33%
    Number of fully used LUT-FF pairs:          22 out of      57   38%
    Number of fully used LUT-FF pairs:          17 out of      56   30%
    Number of slice register sites lost
    Number of slice register sites lost
      to control set restrictions:               0 out of  54,576    0%
      to control set restrictions:               0 out of  54,576    0%
 
 
  A LUT Flip Flop pair for this architecture represents one LUT paired with
  A LUT Flip Flop pair for this architecture represents one LUT paired with
  one Flip Flop within a slice.  A control set is a unique combination of
  one Flip Flop within a slice.  A control set is a unique combination of
  clock, reset, set, and enable signals for a registered element.
  clock, reset, set, and enable signals for a registered element.
  The Slice Logic Distribution report is not meaningful if the design is
  The Slice Logic Distribution report is not meaningful if the design is
  over-mapped for a non-slice resource or if Placement fails.
  over-mapped for a non-slice resource or if Placement fails.
 
 
IO Utilization:
IO Utilization:
  Number of bonded IOBs:                        34 out of     218   15%
  Number of bonded IOBs:                        31 out of     218   14%
    Number of LOCed IOBs:                       34 out of      34  100%
    Number of LOCed IOBs:                       31 out of      31  100%
 
 
Specific Feature Utilization:
Specific Feature Utilization:
  Number of RAMB16BWERs:                         0 out of     116    0%
  Number of RAMB16BWERs:                         0 out of     116    0%
  Number of RAMB8BWERs:                          0 out of     232    0%
  Number of RAMB8BWERs:                          0 out of     232    0%
  Number of BUFIO2/BUFIO2_2CLKs:                 0 out of      32    0%
  Number of BUFIO2/BUFIO2_2CLKs:                 0 out of      32    0%
Line 98... Line 98...
Finished initial Timing Analysis.  REAL time: 4 secs
Finished initial Timing Analysis.  REAL time: 4 secs
 
 
Starting Router
Starting Router
 
 
 
 
Phase  1  : 231 unrouted;      REAL time: 5 secs
Phase  1  : 199 unrouted;      REAL time: 5 secs
 
 
Phase  2  : 199 unrouted;      REAL time: 5 secs
Phase  2  : 168 unrouted;      REAL time: 5 secs
 
 
Phase  3  : 51 unrouted;      REAL time: 6 secs
Phase  3  : 46 unrouted;      REAL time: 6 secs
 
 
Phase  4  : 51 unrouted; (Par is working to improve performance)     REAL time: 7 secs
Phase  4  : 46 unrouted; (Par is working to improve performance)     REAL time: 7 secs
 
 
Updating file: debounce_atlys_top.ncd with current fully routed design.
Updating file: debounce_atlys_top.ncd with current fully routed design.
 
 
Phase  5  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
Phase  5  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
 
 
Line 140... Line 140...
 
 
----------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------
  Constraint                                |    Check    | Worst Case |  Best Case | Timing |   Timing
  Constraint                                |    Check    | Worst Case |  Best Case | Timing |   Timing
                                            |             |    Slack   | Achievable | Errors |    Score
                                            |             |    Slack   | Achievable | Errors |    Score
----------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------
  Autotimespec constraint for clock net gcl | SETUP       |         N/A|     4.464ns|     N/A|           0
  Autotimespec constraint for clock net gcl | SETUP       |         N/A|     4.423ns|     N/A|           0
  k_i_BUFGP                                 | HOLD        |     0.388ns|            |       0|           0
  k_i_BUFGP                                 | HOLD        |     0.424ns|            |       0|           0
----------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------
 
 
 
 
All constraints were met.
All constraints were met.
INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the
INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the
Line 160... Line 160...
All signals are completely routed.
All signals are completely routed.
 
 
Total REAL time to PAR completion: 8 secs
Total REAL time to PAR completion: 8 secs
Total CPU time to PAR completion: 8 secs
Total CPU time to PAR completion: 8 secs
 
 
Peak Memory Usage:  262 MB
Peak Memory Usage:  259 MB
 
 
Placer: Placement generated during map.
Placer: Placement generated during map.
Routing: Completed - No errors found.
Routing: Completed - No errors found.
 
 
Number of error messages: 0
Number of error messages: 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.