Line 1... |
Line 1... |
----------------------------------------------------------------------------------
|
|
-- Company:
|
-- Copyright (c) 2013 Antonio de la Piedra
|
-- Engineer:
|
|
--
|
-- This program is free software: you can redistribute it and/or modify
|
-- Create Date: 09:30:59 02/20/2013
|
-- it under the terms of the GNU General Public License as published by
|
-- Design Name:
|
-- the Free Software Foundation, either version 3 of the License, or
|
-- Module Name: des - Behavioral
|
-- (at your option) any later version.
|
-- Project Name:
|
|
-- Target Devices:
|
-- This program is distributed in the hope that it will be useful,
|
-- Tool versions:
|
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
-- Description:
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
--
|
-- GNU General Public License for more details.
|
-- Dependencies:
|
|
--
|
-- You should have received a copy of the GNU General Public License
|
-- Revision:
|
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
|
-- Revision 0.01 - File Created
|
|
-- Additional Comments:
|
|
--
|
|
----------------------------------------------------------------------------------
|
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.ALL;
|
|
|
-- Uncomment the following library declaration if using
|
|
-- arithmetic functions with Signed or Unsigned values
|
|
--use IEEE.NUMERIC_STD.ALL;
|
|
|
|
-- Uncomment the following library declaration if instantiating
|
|
-- any Xilinx primitives in this code.
|
|
--library UNISIM;
|
|
--use UNISIM.VComponents.all;
|
|
|
|
entity des_loop is
|
entity des_loop is
|
port(clk : in std_logic;
|
port(clk : in std_logic;
|
rst : in std_logic;
|
rst : in std_logic;
|
mode : in std_logic; -- 0 encrypt, 1 decrypt
|
mode : in std_logic; -- 0 encrypt, 1 decrypt
|
key_in : in std_logic_vector(63 downto 0);
|
key_in : in std_logic_vector(63 downto 0);
|