OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [common/] [INPUT_CONTROL.vhd] - Diff between revs 2 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 5
Line 1... Line 1...
-- ***** BEGIN LICENSE BLOCK *****
-- ***** BEGIN LICENSE BLOCK *****
-- 
-- 
-- $Id: INPUT_CONTROL.vhd,v 1.1.1.1 2005-03-30 10:09:49 petebleackley Exp $ $Name: not supported by cvs2svn $
-- $Id: INPUT_CONTROL.vhd,v 1.2 2005-05-27 16:00:28 petebleackley Exp $ $Name: not supported by cvs2svn $
-- *
-- *
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
-- *
-- *
-- * The contents of this file are subject to the Mozilla Public License
-- * The contents of this file are subject to the Mozilla Public License
-- * Version 1.1 (the "License"); you may not use this file except in compliance
-- * Version 1.1 (the "License"); you may not use this file except in compliance
Line 45... Line 45...
--  provided for instantiating Xilinx primitive components.
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity INPUT_CONTROL is
entity INPUT_CONTROL is
 
        generic(WIDTH : integer range 1 to 16 := 1);
    Port ( ENABLE : in std_logic;
    Port ( ENABLE : in std_logic;
           DATA_IN : in std_logic;
           DATA_IN : in std_logic_vector(WIDTH  - 1 downto 0);
           BUFFER_CONTROL : in std_logic;
           BUFFER_CONTROL : in std_logic;
           DEMAND : in std_logic;
           DEMAND : in std_logic;
           RESET : in std_logic;
           RESET : in std_logic;
           CLOCK : in std_logic;
           CLOCK : in std_logic;
           SENDING : out std_logic;
           SENDING : out std_logic;
           DATA_OUT : out std_logic);
           DATA_OUT : out std_logic_vector(WIDTH - 1 downto 0));
end INPUT_CONTROL;
end INPUT_CONTROL;
 
 
architecture RTL of INPUT_CONTROL is
architecture RTL of INPUT_CONTROL is
                component FIFO
                component FIFO
 
                generic( RANK : integer range 0 to 16;
 
                WIDTH : integer range 1 to 16);
                port(            WRITE_ENABLE : in std_logic;
                port(            WRITE_ENABLE : in std_logic;
           DATA_IN : in std_logic;
           DATA_IN : in std_logic_vector (WIDTH - 1 downto 0);
           READ_ENABLE : in std_logic;
           READ_ENABLE : in std_logic;
           RESET : in std_logic;
           RESET : in std_logic;
           CLOCK : in std_logic;
           CLOCK : in std_logic;
           DATA_OUT : out std_logic;
           DATA_OUT : out std_logic_vector (WIDTH - 1 downto 0);
                          EMPTY : out std_logic);
                          EMPTY : out std_logic);
                end component FIFO;
                end component FIFO;
                signal FIFO_WRITE_ENABLE :      std_logic;
                signal FIFO_WRITE_ENABLE :      std_logic;
                signal FIFO_READ_ENABLE :       std_logic;
                signal FIFO_READ_ENABLE :       std_logic;
                signal FIFO_DATA_IN : std_logic;
                signal FIFO_DATA_IN : std_logic_vector (WIDTH - 1 downto 0);
                signal FIFO_DATA_OUT : std_logic;
                signal FIFO_DATA_OUT : std_logic_vector (WIDTH - 1 downto 0);
 
                signal HELD : std_logic_vector (WIDTH - 1 downto 0);
 
                signal OUTPUT : std_logic_vector (WIDTH - 1 downto 0);
 
                signal TRANSMIT : std_logic;
                signal FIFO_EMPTY : std_logic;
                signal FIFO_EMPTY : std_logic;
                signal USE_BUFFER : std_logic;
                signal USE_BUFFER : std_logic;
                signal PUT_IN_BUFFER :  std_logic;
                signal PUT_IN_BUFFER :  std_logic;
begin
 
 
 
 
begin
STORAGE :       FIFO
STORAGE :       FIFO
 
                        generic map (RANK => 8,
 
                        WIDTH => WIDTH)
                        port map(WRITE_ENABLE => FIFO_WRITE_ENABLE,
                        port map(WRITE_ENABLE => FIFO_WRITE_ENABLE,
                        DATA_IN => FIFO_DATA_IN,
                        DATA_IN => FIFO_DATA_IN,
                        READ_ENABLE => FIFO_READ_ENABLE,
                        READ_ENABLE => FIFO_READ_ENABLE,
                        RESET => RESET,
                        RESET => RESET,
                        CLOCK => CLOCK,
                        CLOCK => CLOCK,
                        DATA_OUT => FIFO_DATA_OUT,
                        DATA_OUT => FIFO_DATA_OUT,
                        EMPTY => FIFO_EMPTY);
                        EMPTY => FIFO_EMPTY);
 
 
        FIFO_WRITE_ENABLE <= ENABLE and USE_BUFFER;
        FIFO_WRITE_ENABLE <= ENABLE and USE_BUFFER;
        FIFO_DATA_IN <= DATA_IN and USE_BUFFER;
 
 
USEFIFO:        for I in 0 to WIDTH - 1 generate
 
                FIFO_DATA_IN(I) <= DATA_IN(I) and USE_BUFFER;
 
        end generate;
        FIFO_READ_ENABLE <= DEMAND      and USE_BUFFER;
        FIFO_READ_ENABLE <= DEMAND      and USE_BUFFER;
 
 
        PUT_IN_BUFFER <= ENABLE and BUFFER_CONTROL;
        PUT_IN_BUFFER <= ENABLE and BUFFER_CONTROL;
        USE_BUFFER <= PUT_IN_BUFFER or not FIFO_EMPTY;
        USE_BUFFER <= PUT_IN_BUFFER or not FIFO_EMPTY;
 
 
OUTPUT_SELECT:  process(USE_BUFFER,DEMAND,FIFO_DATA_OUT,ENABLE,DATA_IN)
OUTPUT_SELECT:  process(USE_BUFFER,DEMAND,FIFO_DATA_OUT,HELD,ENABLE,DATA_IN)
begin
begin
        if USE_BUFFER = '1' then
        if (USE_BUFFER = '1') then
        SENDING <= DEMAND;
                TRANSMIT <= DEMAND;
        DATA_OUT <= FIFO_DATA_OUT;
                if DEMAND = '1' then
 
                        OUTPUT <= FIFO_DATA_OUT;
 
                else
 
                        OUTPUT <= HELD;
 
                end if;
 
        else
 
                TRANSMIT <= ENABLE;
 
                if ENABLE = '1' then
 
                        OUTPUT <= DATA_IN;
        else
        else
        SENDING <= ENABLE;
                        OUTPUT <= HELD;
        DATA_OUT <= DATA_IN;
                end if;
        end if;
        end if;
end process OUTPUT_SELECT;
end process OUTPUT_SELECT;
 
 
 
        SENDING <= TRANSMIT;
 
        DATA_OUT <= OUTPUT;
 
 
 
HOLD_DATA : process (CLOCK)
 
        begin
 
        if CLOCK'event and CLOCK = '1' then
 
                if  RESET = '1' then
 
                        HELD <= (others => '0');
 
                elsif TRANSMIT = '1' then
 
                        HELD <= OUTPUT;
 
                end if;
 
        end if;
 
end process HOLD_DATA;
 
 
end RTL;
end RTL;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.