OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [common/] [UPDATER.vhd] - Diff between revs 8 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 10
Line 1... Line 1...
-- ***** BEGIN LICENSE BLOCK *****
-- ***** BEGIN LICENSE BLOCK *****
-- 
-- 
-- 
-- $Id: UPDATER.vhd,v 1.2 2006-10-05 16:17:11 petebleackley Exp $ $Name: not supported by cvs2svn $
--  Version: MPL 1.1/GPL 2.0/LGPL 2.1
-- *
-- 
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
--  The contents of this file are subject to the Mozilla Public License
-- *
--  Version 1.1 (the "License"); you may not use this file except in compliance
-- * The contents of this file are subject to the Mozilla Public License
--  with the License. You may obtain a copy of the License at
-- * Version 1.1 (the "License"); you may not use this file except in compliance
--  http://www.mozilla.org/MPL/
-- * with the License. You may obtain a copy of the License at
-- 
-- * http://www.mozilla.org/MPL/
--  Software distributed under the License is distributed on an "AS IS" basis,
-- *
--  WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
-- * Software distributed under the License is distributed on an "AS IS" basis,
--  the specific language governing rights and limitations under the License.
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
-- 
-- * the specific language governing rights and limitations under the License.
--  The Original Code is BBC Research and Development code.
-- *
-- 
-- * The Original Code is BBC Research and Development code.
--  The Initial Developer of the Original Code is the British Broadcasting
-- *
--  Corporation.
-- * The Initial Developer of the Original Code is the British Broadcasting
--  Portions created by the Initial Developer are Copyright (C) 2006.
-- * Corporation.
--  All Rights Reserved.
-- * Portions created by the Initial Developer are Copyright (C) 2004.
-- 
-- * All Rights Reserved.
--  Contributor(s): Peter Bleackley (Original author)
-- *
-- 
-- * Contributor(s): Peter Bleackley (Original author)
--  Alternatively, the contents of this file may be used under the terms of
-- *
--  the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
-- * Alternatively, the contents of this file may be used under the terms of
--  Public License Version 2.1 (the "LGPL"), in which case the provisions of
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
--  the GPL or the LGPL are applicable instead of those above. If you wish to
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
--  allow use of your version of this file only under the terms of the either
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
--  the GPL or LGPL and not to allow others to use your version of this file
-- * allow use of your version of this file only under the terms of the either
--  under the MPL, indicate your decision by deleting the provisions above
-- * the GPL or LGPL and not to allow others to use your version of this file
--  and replace them with the notice and other provisions required by the GPL
-- * under the MPL, indicate your decision by deleting the provisions above
--  or LGPL. If you do not delete the provisions above, a recipient may use
-- * and replace them with the notice and other provisions required by the GPL
--  your version of this file under the terms of any one of the MPL, the GPL
-- * or LGPL. If you do not delete the provisions above, a recipient may use
--  or the LGPL.
-- * your version of this file under the terms of any one of the MPL, the GPL
 
-- * or the LGPL.
-- * ***** END LICENSE BLOCK ***** */
-- * ***** END LICENSE BLOCK ***** */
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
Line 43... Line 44...
--  provided for instantiating Xilinx primitive components.
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity UPDATER is
entity UPDATER is
    Port ( NUMERATOR : in std_logic_vector(9 downto 0);
    Port ( NUMERATOR : in std_logic_vector(7 downto 0);
           DENOMINATOR : in std_logic_vector(9 downto 0);
           DENOMINATOR : in std_logic_vector(7 downto 0);
           ENABLE : in std_logic;
           ENABLE : in std_logic;
           DATA_IN : in std_logic;
           DATA_IN : in std_logic;
           RESET : in std_logic;
           RESET : in std_logic;
           CLOCK : in std_logic;
           CLOCK : in std_logic;
           NUMERATOR_OUT : out std_logic_vector(9 downto 0);
           NUMERATOR_OUT : out std_logic_vector(7 downto 0);
           DENOMINATOR_OUT : out std_logic_vector(9 downto 0);
           DENOMINATOR_OUT : out std_logic_vector(7 downto 0);
                          UPDATE : out std_logic);
                          UPDATE : out std_logic);
end UPDATER;
end UPDATER;
 
 
architecture RTL of UPDATER is
architecture RTL of UPDATER is
        signal NUMERATOR1 : std_logic_vector(9 downto 0);
        signal NUMERATOR1 : std_logic_vector(7 downto 0);
        signal NUMERATOR2 : std_logic_vector(9 downto 0);
        signal NUMERATOR2 : std_logic_vector(7 downto 0);
        signal NUMERATOR3 : std_logic_vector(9 downto 0);
        signal NUMERATOR3 : std_logic_vector(7 downto 0);
        signal NUMERATOR4       : std_logic_vector(9 downto 0);
        signal NUMERATOR4       : std_logic_vector(7 downto 0);
        signal DENOMINATOR2 : std_logic_vector(9 downto 0);
        signal DENOMINATOR2 : std_logic_vector(7 downto 0);
        signal HALVE_VALUES : std_logic;
        signal HALVE_VALUES : std_logic;
        signal HALVING_ALLOWED : std_logic;
 
        signal UPDATE_SWITCH : std_logic;
        signal UPDATE_SWITCH : std_logic;
begin
begin
 
 
DELAY_NUMERATOR : process (CLOCK)
DELAY_NUMERATOR : process (CLOCK)
begin
begin
        if CLOCK'event and CLOCK='1' then
        if CLOCK'event and CLOCK='1' then
                if RESET='1' then
                if RESET='1' then
                        NUMERATOR1<="0000000001";
                        NUMERATOR1<="00000001";
                else
                else
                        NUMERATOR1<=NUMERATOR;
                        NUMERATOR1<=NUMERATOR;
                end if;
                end if;
        end if;
        end if;
end process DELAY_NUMERATOR;
end process DELAY_NUMERATOR;
 
 
INCREMENT_NUMERATOR : process (CLOCK)
INCREMENT_NUMERATOR : process (CLOCK)
begin
begin
        if CLOCK'event and CLOCK = '1' then
        if CLOCK'event and CLOCK = '1' then
                if RESET = '1' then
                if RESET = '1' then
                        NUMERATOR2 <= "0000000001";
                        NUMERATOR2 <= "00000001";
                else
                else
                        NUMERATOR2 <= NUMERATOR + "0000000001";
                        NUMERATOR2 <= NUMERATOR + "00000001";
                end if;
                end if;
        end if;
        end if;
end process INCREMENT_NUMERATOR;
end process INCREMENT_NUMERATOR;
 
 
HALVE_NUMERATOR : process (CLOCK)
HALVE_NUMERATOR : process (CLOCK)
begin
begin
        if CLOCK'event and CLOCK='1' then
        if CLOCK'event and CLOCK='1' then
                if RESET='1' then
                if RESET='1' then
                        NUMERATOR3 <= "0000000001";
                        NUMERATOR3 <= "00000001";
                else
                else
                                NUMERATOR3 <= ('0' & NUMERATOR(9 downto 1)) + "0000000001";
                                NUMERATOR3 <= ('0' & NUMERATOR(7 downto 1)) + "00000001";
                end if;
                end if;
        end if;
        end if;
end process HALVE_NUMERATOR;
end process HALVE_NUMERATOR;
 
 
INCREMENT_AND_HALVE_NUMERATOR : process (CLOCK)
INCREMENT_AND_HALVE_NUMERATOR : process (CLOCK)
begin
begin
        if CLOCK'event and CLOCK='1' then
        if CLOCK'event and CLOCK='1' then
                if RESET='1' then
                if RESET='1' then
                        NUMERATOR4 <= "0000000001";
                        NUMERATOR4 <= "00000001";
                else
                else
                        NUMERATOR4 <= ('0' & NUMERATOR(9 downto 1)) + "0000000001" + ("000000000" & NUMERATOR(0));
                        NUMERATOR4 <= ('0' & NUMERATOR(7 downto 1)) + "00000001" + ("0000000" & NUMERATOR(0));
                end if;
                end if;
        end if;
        end if;
end process INCREMENT_AND_HALVE_NUMERATOR;
end process INCREMENT_AND_HALVE_NUMERATOR;
 
 
INCREMENT_DENOMINATOR : process (CLOCK)
INCREMENT_DENOMINATOR : process (CLOCK)
begin
begin
        if CLOCK'event and CLOCK='1' then
        if CLOCK'event and CLOCK='1' then
                if RESET='1' then
                if RESET='1' then
                        DENOMINATOR2 <= "0000000010";
                        DENOMINATOR2 <= "00000010";
                else
                else
                        DENOMINATOR2 <= DENOMINATOR + "0000000001";
                        DENOMINATOR2 <= DENOMINATOR + "00000001";
                end if;
                end if;
        end if;
        end if;
end process INCREMENT_DENOMINATOR;
end process INCREMENT_DENOMINATOR;
 
 
HALVE_DENOMINATOR : process (DENOMINATOR)
HALVE_DENOMINATOR : process (DENOMINATOR)
begin
begin
        if (DENOMINATOR = "1111111111") then
        if (DENOMINATOR = "11111111") then
                HALVE_VALUES <= '1';
                HALVE_VALUES <= '1';
        else
        else
                HALVE_VALUES <= '0';
                HALVE_VALUES <= '0';
        end if;
        end if;
end process HALVE_DENOMINATOR;
end process HALVE_DENOMINATOR;
Line 148... Line 148...
        end if;
        end if;
end process OUTPUT_NUMERATOR;
end process OUTPUT_NUMERATOR;
 
 
UPDATE_SWITCH <= DATA_IN xor NUMERATOR(0);
UPDATE_SWITCH <= DATA_IN xor NUMERATOR(0);
 
 
OUTPUT_DENOMINATOR : process(HALVING_ALLOWED,DENOMINATOR,DENOMINATOR2,UPDATE_SWITCH,HALVE_VALUES,NUMERATOR)
OUTPUT_DENOMINATOR : process(DENOMINATOR,DENOMINATOR2,UPDATE_SWITCH,HALVE_VALUES)
begin
begin
        if HALVE_VALUES='1' then
        if HALVE_VALUES='1' then
                if UPDATE_SWITCH = '1' then
                if UPDATE_SWITCH = '1' then
                        DENOMINATOR_OUT <= "1000000010";
                        DENOMINATOR_OUT <= "10000010";
                else
                else
                        DENOMINATOR_OUT <= "1000000001";
                        DENOMINATOR_OUT <= "10000001";
                end if;
                end if;
        else
        else
                DENOMINATOR_OUT<=DENOMINATOR2;
                DENOMINATOR_OUT<=DENOMINATOR2;
        end if;
        end if;
end process OUTPUT_DENOMINATOR;
end process OUTPUT_DENOMINATOR;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.