OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [encoder/] [ARITHMETICCODER.vhd] - Diff between revs 8 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 10
Line 1... Line 1...
-- ***** BEGIN LICENSE BLOCK *****
-- ***** BEGIN LICENSE BLOCK *****
-- 
-- 
-- 
-- $Id: ARITHMETICCODER.vhd,v 1.4 2006-10-05 16:17:13 petebleackley Exp $ $Name: not supported by cvs2svn $
--  Version: MPL 1.1/GPL 2.0/LGPL 2.1
-- *
-- 
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
--  The contents of this file are subject to the Mozilla Public License
-- *
--  Version 1.1 (the "License"); you may not use this file except in compliance
-- * The contents of this file are subject to the Mozilla Public License
--  with the License. You may obtain a copy of the License at
-- * Version 1.1 (the "License"); you may not use this file except in compliance
--  http://www.mozilla.org/MPL/
-- * with the License. You may obtain a copy of the License at
-- 
-- * http://www.mozilla.org/MPL/
--  Software distributed under the License is distributed on an "AS IS" basis,
-- *
--  WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
-- * Software distributed under the License is distributed on an "AS IS" basis,
--  the specific language governing rights and limitations under the License.
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
-- 
-- * the specific language governing rights and limitations under the License.
--  The Original Code is BBC Research and Development code.
-- *
-- 
-- * The Original Code is BBC Research and Development code.
--  The Initial Developer of the Original Code is the British Broadcasting
-- *
--  Corporation.
-- * The Initial Developer of the Original Code is the British Broadcasting
--  Portions created by the Initial Developer are Copyright (C) 2006.
-- * Corporation.
--  All Rights Reserved.
-- * Portions created by the Initial Developer are Copyright (C) 2004.
-- 
-- * All Rights Reserved.
--  Contributor(s): Peter Bleackley (Original author)
-- *
-- 
-- * Contributor(s): Peter Bleackley (Original author)
--  Alternatively, the contents of this file may be used under the terms of
-- *
--  the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
-- * Alternatively, the contents of this file may be used under the terms of
--  Public License Version 2.1 (the "LGPL"), in which case the provisions of
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
--  the GPL or the LGPL are applicable instead of those above. If you wish to
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
--  allow use of your version of this file only under the terms of the either
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
--  the GPL or LGPL and not to allow others to use your version of this file
-- * allow use of your version of this file only under the terms of the either
--  under the MPL, indicate your decision by deleting the provisions above
-- * the GPL or LGPL and not to allow others to use your version of this file
--  and replace them with the notice and other provisions required by the GPL
-- * under the MPL, indicate your decision by deleting the provisions above
--  or LGPL. If you do not delete the provisions above, a recipient may use
-- * and replace them with the notice and other provisions required by the GPL
--  your version of this file under the terms of any one of the MPL, the GPL
-- * or LGPL. If you do not delete the provisions above, a recipient may use
--  or the LGPL.
-- * your version of this file under the terms of any one of the MPL, the GPL
 
-- * or the LGPL.
-- * ***** END LICENSE BLOCK ***** */
-- * ***** END LICENSE BLOCK ***** */
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
Line 86... Line 87...
           TRIGGER_OUTPUT : out std_logic;
           TRIGGER_OUTPUT : out std_logic;
           TRIGGER_FOLLOW : out std_logic);
           TRIGGER_FOLLOW : out std_logic);
        end component CONVERGENCE_CHECK;
        end component CONVERGENCE_CHECK;
        component ARITHMETIC_UNIT
        component ARITHMETIC_UNIT
        port    ( DIFFERENCE : in std_logic_vector(15 downto 0);
        port    ( DIFFERENCE : in std_logic_vector(15 downto 0);
           PROB : in std_logic_vector(9 downto 0);
           PROB : in std_logic_vector(7 downto 0);
                          LOW : in std_logic_vector(15 downto 0);
                          LOW : in std_logic_vector(15 downto 0);
           ENABLE : in std_logic;
           ENABLE : in std_logic;
                          RESET :       in std_logic;
                          RESET :       in std_logic;
           CLOCK : in std_logic;
           CLOCK : in std_logic;
           DIFFERENCE_OUT0 : out std_logic_vector(15 downto 0);
           DIFFERENCE_OUT0 : out std_logic_vector(15 downto 0);
Line 127... Line 128...
                                UPDATE : in std_logic;
                                UPDATE : in std_logic;
                                DATA_IN : in std_logic;
                                DATA_IN : in std_logic;
                                HALVECOUNTS : in std_logic;
                                HALVECOUNTS : in std_logic;
           RESET : in std_logic;
           RESET : in std_logic;
           CLOCK : in std_logic;
           CLOCK : in std_logic;
           PROB : out std_logic_vector(9 downto 0);
           PROB : out std_logic_vector(7 downto 0);
                          READY : out std_logic);
                          READY : out std_logic);
        end component CONTEXT_MANAGER;
        end component CONTEXT_MANAGER;
        signal HIGH_SET : std_logic;
        signal HIGH_SET : std_logic;
        signal LOW_SET  : std_logic;
        signal LOW_SET  : std_logic;
        signal TRIGGER_SHIFT : std_logic;
        signal TRIGGER_SHIFT : std_logic;
Line 159... Line 160...
        signal ARITHMETIC_UNIT_RESULT_OUT0 :    std_logic_vector (15 downto 0);
        signal ARITHMETIC_UNIT_RESULT_OUT0 :    std_logic_vector (15 downto 0);
        signal ARITHMETIC_UNIT_RESULT_OUT1 : std_logic_vector (15 downto 0);
        signal ARITHMETIC_UNIT_RESULT_OUT1 : std_logic_vector (15 downto 0);
        signal DIFFERENCE_OUT : std_logic_vector (15 downto 0);
        signal DIFFERENCE_OUT : std_logic_vector (15 downto 0);
        signal HIGH_OUT : std_logic_vector (15 downto 0);
        signal HIGH_OUT : std_logic_vector (15 downto 0);
        signal LOW_OUT : std_logic_vector (15 downto 0);
        signal LOW_OUT : std_logic_vector (15 downto 0);
        signal PROB : std_logic_vector (9 downto 0);
        signal PROB : std_logic_vector (7 downto 0);
        signal CONTEXT_SELECT : std_logic_vector (5 downto 0);
        signal CONTEXT_SELECT : std_logic_vector (5 downto 0);
        signal PROB_AVAILABLE : std_logic;
        signal PROB_AVAILABLE : std_logic;
        signal BUFFERCONTEXT :  std_logic;
        signal BUFFERCONTEXT :  std_logic;
        signal DATA_IN2 : std_logic_vector(0 downto 0);
        signal DATA_IN2 : std_logic_vector(0 downto 0);
        signal BUFFERED_DATA2 : std_logic_vector(0 downto 0);
        signal BUFFERED_DATA2 : std_logic_vector(0 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.