URL
https://opencores.org/ocsvn/dirac/dirac/trunk
[/] [dirac/] [trunk/] [src/] [testbench/] [ArithmeticCoderTestbench.vhd] - Diff between revs 2 and 4
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 4 |
Line 25... |
Line 25... |
generic(
|
generic(
|
PROB : std_logic_vector (9 downto 0));
|
PROB : std_logic_vector (9 downto 0));
|
PORT(
|
PORT(
|
ENABLE : IN std_logic;
|
ENABLE : IN std_logic;
|
DATA_IN : IN std_logic;
|
DATA_IN : IN std_logic;
|
CONTEXT_ENABLE : in std_logic;
|
|
CONTEXT_IN : in std_logic_vector (5 downto 0);
|
|
RESET : IN std_logic;
|
RESET : IN std_logic;
|
CLOCK : IN std_logic;
|
CLOCK : IN std_logic;
|
SENDING : OUT std_logic;
|
SENDING : OUT std_logic;
|
DATA_OUT : OUT std_logic
|
DATA_OUT : OUT std_logic
|
);
|
);
|
Line 53... |
Line 51... |
SIGNAL SENDING : std_logic;
|
SIGNAL SENDING : std_logic;
|
SIGNAL DATA_OUT : std_logic;
|
SIGNAL DATA_OUT : std_logic;
|
signal TRANSMIT : std_logic;
|
signal TRANSMIT : std_logic;
|
signal DATA_TRANSFER : std_logic;
|
signal DATA_TRANSFER : std_logic;
|
constant PERIOD : time := 10 ns;
|
constant PERIOD : time := 10 ns;
|
signal CONTEXT_ENABLE : std_logic;
|
file TESTDATA : text is in "raw_data";
|
signal CONTEXT : std_logic_vector (5 downto 0) := "000000";
|
|
file TESTDATA : text is in "";
|
|
file RESULTS : text is out "results";
|
file RESULTS : text is out "results";
|
|
|
BEGIN
|
BEGIN
|
|
|
uut: arithmeticcoder
|
uut: arithmeticcoder
|
generic map(
|
generic map(
|
PROB => "1110010000")
|
PROB => "1110010000")
|
PORT MAP(
|
PORT MAP(
|
ENABLE => ENABLE,
|
ENABLE => ENABLE,
|
DATA_IN => DATA_IN,
|
DATA_IN => DATA_IN,
|
CONTEXT_ENABLE => CONTEXT_ENABLE,
|
|
CONTEXT_IN => CONTEXT,
|
|
RESET => RESET,
|
RESET => RESET,
|
CLOCK => CLOCK,
|
CLOCK => CLOCK,
|
SENDING => TRANSMIT,
|
SENDING => TRANSMIT,
|
DATA_OUT => DATA_TRANSFER
|
DATA_OUT => DATA_TRANSFER
|
);
|
);
|
Line 133... |
Line 127... |
else
|
else
|
write(OUTLINE,DATA_OUT);
|
write(OUTLINE,DATA_OUT);
|
if (WRITTEN mod 32) = 31 then
|
if (WRITTEN mod 32) = 31 then
|
writeline(RESULTS,OUTLINE);
|
writeline(RESULTS,OUTLINE);
|
end if;
|
end if;
|
|
end if;
|
end loop;
|
end loop;
|
end process;
|
end process;
|
|
|
|
|
-- *** End Test Bench - User Defined Section ***
|
-- *** End Test Bench - User Defined Section ***
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.