OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [bus_access_x16.vhd] - Diff between revs 4 and 7

Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 26... Line 26...
---- any Xilinx primitives in this code.
---- any Xilinx primitives in this code.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity bus_access_x16 is
entity bus_access_x16 is
    Port ( clk : in  STD_LOGIC;
    Port ( en : in  STD_LOGIC;
           en : in  STD_LOGIC;
 
           dataRead : in  STD_LOGIC_VECTOR (15 downto 0);
           dataRead : in  STD_LOGIC_VECTOR (15 downto 0);
           dataWrite : out  STD_LOGIC_VECTOR (15 downto 0));
           dataWrite : out  STD_LOGIC_VECTOR (15 downto 0));
end bus_access_x16;
end bus_access_x16;
 
 
architecture Behavioral of bus_access_x16 is
architecture Behavioral of bus_access_x16 is
 
 
begin
begin
        process(clk, en, dataRead)
 
 
        process(en, dataRead)
        begin
        begin
                if clk'event and clk = '1' then
 
                        if en = '1' then
                        if en = '1' then
                                dataWrite <= dataRead;
                                dataWrite <= dataRead;
                        else
                        else
                                dataWrite <= (others => 'Z');
                                dataWrite <= (others => 'Z');
                        end if;
                        end if;
                end if;
 
        end process;
        end process;
end Behavioral;
end Behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.