OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [mini_uP_x16.vhd] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 53... Line 53...
        signal ALUoverflow: std_logic;
        signal ALUoverflow: std_logic;
        signal opCode:  ALU_OPCODE;
        signal opCode:  ALU_OPCODE;
 
 
        -- Control signals (driven by the controler)
        -- Control signals (driven by the controler)
        signal register_control:  std_logic_vector(7 downto 0); -- re1 we1 re2 we2 re3 we3 re4 we4
        signal register_control:  std_logic_vector(7 downto 0); -- re1 we1 re2 we2 re3 we3 re4 we4
        signal stack_control :  std_logic_vector(1 downto 0); -- en push/pop
        signal stack_control :  std_logic_vector(1 downto 0); --push pop
        signal PC_control :  std_logic;
        signal PC_control :  std_logic;
        signal inc_PC:  std_logic;
        signal inc_PC:  std_logic;
 
 
        -- Id signal (driven by the identifier)
        -- Id signal (driven by the identifier)
        signal uP_id: std_logic_vector(7 downto 0);
        signal uP_id: std_logic_vector(7 downto 0);
Line 73... Line 73...
                port (  clk: in std_logic;
                port (  clk: in std_logic;
                                        reset: in std_logic;
                                        reset: in std_logic;
                                        code: in std_logic_vector(15 downto 0);
                                        code: in std_logic_vector(15 downto 0);
                                        opCode: out ALU_OPCODE;
                                        opCode: out ALU_OPCODE;
                                        register_control: out std_logic_vector(7 downto 0); -- re1 we1 re2 we2 re3 we3 re4 we4
                                        register_control: out std_logic_vector(7 downto 0); -- re1 we1 re2 we2 re3 we3 re4 we4
                                        stack_control : out std_logic_vector(1 downto 0); -- en push/pop
                                        stack_control : out std_logic_vector(1 downto 0); -- push pop
                                        PC_control : out std_logic;
                                        PC_control : out std_logic;
                                        inc_PC: out std_logic;
                                        inc_PC: out std_logic;
                                        watchdog_reset: out std_logic;
                                        watchdog_reset: out std_logic;
                                        watchdog_control: out std_logic -- re we
                                        watchdog_control: out std_logic_vector(1 downto 0) -- re(reset_value) we(left)
                                        );
                                        );
        end component;
        end component;
 
 
        component binary_counter_x16
        component binary_counter_x16
                port (  clk: in std_logic;
                port (  clk: in std_logic;
Line 210... Line 210...
                                                data2 => dataBus2,
                                                data2 => dataBus2,
                                                dataA => accumulator,
                                                dataA => accumulator,
                                                op => opCode,
                                                op => opCode,
                                                overflow => ALUoverflow);
                                                overflow => ALUoverflow);
 
 
 
        -- Controler
 
        controler : decoder_controler_x16
 
                port map(       clk=> clk,
 
                                                reset=>  reset,
 
                                                code=>  code,
 
                                                opCode=>  opCode,
 
                                                register_control=> register_control, -- re1 we1 re2 we2 re3 we3 re4 we4
 
                                                stack_control => stack_control, -- push pop
 
                                                PC_control =>  PC_control,
 
                                                inc_PC=> inc_PC,
 
                                                watchdog_reset=> watchdog_rst,
 
                                                watchdog_control=> watchdog_control  -- re(reset_value) we(left)
 
                                                );
end Behavioral;
end Behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.