OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.24/] [fpga/] [src/] [eco32.v] - Diff between revs 191 and 192

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 191 Rev 192
Line 46... Line 46...
             ata_dmack_n,
             ata_dmack_n,
             ata_iordy,
             ata_iordy,
             slot1_cs_n,
             slot1_cs_n,
             slot2_cs_n,
             slot2_cs_n,
             ether_cs_n,
             ether_cs_n,
             sw1_3_n,
             sw1_3,
             sw1_4_n,
             sw1_4,
             sw2_n,
             sw2_n,
             sw3_n);
             sw3_n);
 
 
    // clock and reset
    // clock and reset
    input clk_in;
    input clk_in;
Line 109... Line 109...
    // expansion slot 2
    // expansion slot 2
    output slot2_cs_n;
    output slot2_cs_n;
    // ethernet
    // ethernet
    output ether_cs_n;
    output ether_cs_n;
    // board I/O
    // board I/O
    input sw1_3_n;
    input sw1_3;
    input sw1_4_n;
    input sw1_4;
    input sw2_n;
    input sw2_n;
    input sw3_n;
    input sw3_n;
 
 
  // clk_reset
  // clk_reset
  wire clk;
  wire clk;
Line 490... Line 490...
    .wr(bio_wr),
    .wr(bio_wr),
    .addr(bio_addr),
    .addr(bio_addr),
    .data_in(bio_data_in[31:0]),
    .data_in(bio_data_in[31:0]),
    .data_out(bio_data_out[31:0]),
    .data_out(bio_data_out[31:0]),
    .wt(bio_wt),
    .wt(bio_wt),
    .sw1_3_n(sw1_3_n),
    .sw1_1(flash_a[19]),
    .sw1_4_n(sw1_4_n),
    .sw1_2(flash_a[18]),
 
    .sw1_3(sw1_3),
 
    .sw1_4(sw1_4),
    .sw2_n(sw2_n),
    .sw2_n(sw2_n),
    .sw3_n(sw3_n)
    .sw3_n(sw3_n)
  );
  );
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.