OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [README] - Diff between revs 292 and 311

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 292 Rev 311
Line 1... Line 1...
Contents of Subdirectories
Contents of Subdirectories
--------------------------
--------------------------
 
 
 
experiments
 
different experiments to explore implementation alternatives
 
 
 
        memdelay
 
        RAM simulation with adjustable read/write delays
 
 
 
        memspeed
 
        SDRAM speed measurement circuit for XESS board, old controller
 
 
mc
mc
multicycle implementations of ECO32
multicycle implementations of ECO32
(DONE)
 
 
 
mc-sim
mc-sim
multicycle simulation of ECO32
multicycle simulation of ECO32
(NOT YET)
 
 
 
mc-vl
mc-vl
Verilator-translated multicycle simulation of ECO32
Verilator-translated multicycle simulation of ECO32
(NOT YET)
 
 
 
pl
pl
pipeline implementations of ECO32
pipeline implementations of ECO32
(NOT YET)
(NOT YET)
 
 
Line 22... Line 28...
(NOT YET)
(NOT YET)
 
 
pl-vl
pl-vl
Verilator-translated pipeline simulation of ECO32
Verilator-translated pipeline simulation of ECO32
(NOT YET)
(NOT YET)
 
 
 
tests
 
different tests that can be run on any implementation

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.