OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [s3e-500/] [doc/] [dac/] [dac_test.v] - Diff between revs 290 and 299

Show entire file | Details | Blame | View Log

Rev 290 Rev 299
Line 1... Line 1...
//
//
// dac_test.v -- test bench for DAC control circuit
// dac_test.v -- test bench for DAC control circuit
//
//
 
 
 
 
`include "dac.v"
`timescale 1ns/10ps
 
 
 
 
`timescale 1ns/1ns
 
`default_nettype none
`default_nettype none
 
 
 
 
module dac_test;
module dac_test;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.