OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [xsa-xst-3/] [doc/] [dac/] [dac.v] - Diff between revs 288 and 290

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 288 Rev 290
Line 1... Line 1...
//
//
// dac.v -- DAC control circuit
// dac.v -- DAC control circuit
//
//
 
 
 
 
`timescale 1ns/1ns
`timescale 1ns/1ns
 
`default_nettype none
 
 
 
 
module dac(clk, reset,
module dac(clk, reset,
           sample_l, sample_r, next,
           sample_l, sample_r, next,
           mclk, sclk, lrck, sdti);
           mclk, sclk, lrck, sdti);
    input clk;
    input clk;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.