OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [dsk/] [atabuf.v] - Diff between revs 288 and 290

Show entire file | Details | Blame | View Log

Rev 288 Rev 290
Line 1... Line 1...
//
//
// atabuf.v -- parallel ATA data buffer
// atabuf.v -- parallel ATA data buffer
//
//
 
 
 
 
 
`timescale 1ns/10ps
 
`default_nettype none
 
 
 
 
module ata_buffer (clk,
module ata_buffer (clk,
                   bus_write, bus_addr, bus_din, bus_dout,
                   bus_write, bus_addr, bus_din, bus_dout,
                   ata_write, ata_addr, ata_din, ata_dout);
                   ata_write, ata_addr, ata_din, ata_dout);
    input clk;
    input clk;
    // bus interface
    // bus interface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.